Patents 6153300 - 6153553

 

 

Patent 6153300: Bleaching cellulose pulp having cleanliness which varies significantly over time using at least two different bleaching stages and bleaching
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COATED OR STRUCTUALLY DEFINED FLAKE, PARTICLE, CELL, STRAND, STRAND PORTION, ROD, FILAMENT, MACROSCOPIC FIBER OR MASS THEREOF : Rod, strand, filament or fiber : Coated or with bond, impregnation or core : Artificial fiber or filament : Cellulosic : Silicic material in coating)

Patent 6153301: Mica tape and insulated coil using the same
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COATED OR STRUCTUALLY DEFINED FLAKE, PARTICLE, CELL, STRAND, STRAND PORTION, ROD, FILAMENT, MACROSCOPIC FIBER OR MASS THEREOF : Particulate matter (e.g., sphere, flake, etc.) : Coated : Silicic or refractory material containing (e.g., tungsten oxide, glass, cement, etc.) : Glass particles or spheres : Polyamide, polyimide or polyester)

Patent 6153302: Epoxy/thermoplastic photocurable adhesive composition
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of epoxy ether : Coated)

Patent 6153303: Tetrafluoroethylene copolymer composition for coating metal articles
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of fluorinated addition polymer from unsaturated monomers : Next to metal)

Patent 6153304: Hydrophobic coating system for application to an inorganic, organic or metallic substrate
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of silicon containing (not as silicon alloy) : As siloxane, silicone or silane : Ester, halide or nitrile of addition polymer)

Patent 6153305: Recording sheet for ink-jet recording and ink jet recording method
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of polyamide : Natural source-type polyamide (e.g., casein, gelatin, etc.) : Polymer of monoethylenically unsaturated hydrocarbon)

Patent 6153306: Paper coated with polylactide and a method for making it
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of polyester (e.g., alkyd, etc.) : Next to cellulosic : Paper or wood)

Patent 6153307: Compatibilizer and laminates containing said compatibilizer
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of polyester (e.g., alkyd, etc.) : Next to addition polymer from unsaturated monomers : Paper or wood)

Patent 6153308: Multilayered biaxially-oriented styrene based resin sheet and method for production thereof
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of addition polymer from unsaturated monomers : Next to second addition polymer from unsaturated monomers : Paper or wood)

Patent 6153309: UV-protected vinyl laminates
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of addition polymer from unsaturated monomers : Ester, halide or nitrile of addition polymer : Ester, halide or nitrile of addition polymer)

Patent 6153310: Ink jet recording sheet
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : INK JET STOCK FOR PRINTING (I.E., STOCK BEFORE PRINTING) : Surface feature (e.g., rough, mirror))

Patent 6153311: Soft sculpted article and method of making same
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : DECORATIVE ARTICLE : Wood timber product (e.g., piling, post, veneer, etc.))

Patent 6153312: Apparatus and methods for economically fabricating molded refractory articles using refractory mix displacing elements
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : ALL METAL OR WITH ADJACENT METALS : Having metal particles : Continuous interengaged phases of plural metals, or oriented fiber containing : Nonmetal containing : Nonmetal particles in particulate component)

Patent 6153313: Nickel aluminide coating and coating systems formed therewith
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : ALL METAL OR WITH ADJACENT METALS : Composite; i.e., plural, adjacent, spatially distinct metal components (e.g., layers, joint, etc.) : With additional, spatially distinct nonmetal component : Oxide-containing component : Film (e.g., glaze, etc.))

Patent 6153314: Hot-dip galvanizing bath and process
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : ALL METAL OR WITH ADJACENT METALS : Composite; i.e., plural, adjacent, spatially distinct metal components (e.g., layers, joint, etc.) : Transition metal-base component : Group IIB metal-base component : Zn-base component : Next to Fe-base component (e.g., galvanized) : Nonparticulate component has Fe-base)

Patent 6153315: Sputtering target and method for manufacturing thereof
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : ALL METAL OR WITH ADJACENT METALS : Surface feature (e.g., rough, mirror) : Adjacent functionally defined components)

Patent 6153316: Mechanically textured aluminum alloy sheet
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : ALL METAL OR WITH ADJACENT METALS : Surface feature (e.g., rough, mirror) : Adjacent functionally defined components)

Patent 6153317: Perovskite phase thin films and method of making
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of inorganic material : Metal-compound-containing layer : Fluroescent, phosphorescent, or luminescent layer : Regenerated or modified)

Patent 6153318: Layered material having properties that are variable by an applied electric field
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : MAGNETIC RECORDING COMPONENT OR STOCK : Magneto-optical media stock : Single magneto-optic magnetic layer : Only two magnetic layers, at least one of which is magneto-optic)

Patent 6153319: Spin-valve type thin film element
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : MAGNETIC RECORDING COMPONENT OR STOCK : Magnetic head : Magnetoresistive : Multilayer :)

Patent 6153320: Magnetic devices with laminated ferromagnetic structures formed with improved antiferromagnetically coupling films
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : MAGNETIC RECORDING COMPONENT OR STOCK : Magnetic head : Magnetoresistive : Multilayer :)

Patent 6153321: Method of using a substrate with magnetic substance of a magnetic scale
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : MAGNETIC RECORDING COMPONENT OR STOCK : Magnetic head : Framework with or therefor)

Patent 6153322: Methods for making fluorinated surface modifying agents, methods of using same and products made using same
(STOCK MATERIAL OR MISCELLANEOUS ARTICLES : COMPOSITE (NONSTRUCTURAL LAMINATE) : Of B, N, P, S, or metal-containing material : Metal-compound-containing layer)

Patent 6153323: Electrode treatment method for improving performance in liquid feed fuel cells
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : FUEL CELL, SUBCOMBINATION THEREOF OR METHODS OF OPERATING :)

Patent 6153324: Current-carrying component for a fused carbonate fuel cell with anticorrosive coating
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : FUEL CELL, SUBCOMBINATION THEREOF OR METHODS OF OPERATING : Process of operating : Fused or molten electrolyte : Active material in electrolyte)

Patent 6153326: Silicone resin-metal composite
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : FUEL CELL, SUBCOMBINATION THEREOF OR METHODS OF OPERATING : Housing member, seal, spacer or fluid distributing or directing means : Electrolyte composition chemically specified)

Patent 6153327: Amorphous carbon comprising a catalyst
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : FUEL CELL, SUBCOMBINATION THEREOF OR METHODS OF OPERATING : Catalytic electrode structure or composition : Having sealing feature)

Patent 6153328: System and method for preventing the formation of dendrites in a metal/air fuel cell, battery or metal recovery apparatus
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : PROCESS OF CELL OPERATION : Electrolyte circulation : Having an inorganic matrix, substrate or support)

Patent 6153329: Battery terminal cover
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : TERMINAL PROTECTOR OTHER THAN SEAL THROUGH CASING : Electrolyte feeding control from reserve supply)

Patent 6153330: Alkaline manganese dioxide electrochemical cell having coated can treated with silicon compounds
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Cell enclosure structure, e.g., housing, casing, container, cover, etc. : Cylindrical unit cell type, e.g., cup container electrode, tubular electrode, casing, etc. : Having centrally located anode, i.e., "inside-out" type cell : And common external casing, tray or clamp means)

Patent 6153331: Battery handle holddown
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Cell enclosure structure, e.g., housing, casing, container, cover, etc. : Having handle or lifting device : And terminal seal)

Patent 6153332: Cathode for lithium secondary battery
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Electrode : Having active material with organic component : Organic component is a binder : Dendrite or "tree" forming inhibitor)

Patent 6153333: Lithium-containing phosphate active materials
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Electrode : Chemically specified inorganic electrochemically active material containing : Organic component is a binder)

Patent 6153334: Active materials for the positive electrode in alkaline storage battery and the manufacturing method of them
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Electrode : Chemically specified inorganic electrochemically active material containing : Nickel component is active material : Dendrite or "tree" forming inhibitor)

Patent 6153335: Battery cell construction including fiberous mat separator
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Electrode : Chemically specified inorganic electrochemically active material containing : Lead component is active material : Dendrite or "tree" forming inhibitor)

Patent 6153336: Lithium secondary battery
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Electrode : Chemically specified inorganic electrochemically active material containing : Alkalated transition metal chalcogenide component is active material : Zinc oxide)

Patent 6153337: Separators for electrochemical cells
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Separator, retainer, spacer or materials for use therewith : With insulating separator, spacer or retainer means)

Patent 6153338: Nonaqueous organic electrolytes for low temperature discharge of rechargeable electrochemical cells
(CHEMISTRY: ELECTRICAL CURRENT PRODUCING APPARATUS, PRODUCT, AND PROCESS : CURRENT PRODUCING CELL, ELEMENTS, SUBCOMBINATIONS AND COMPOSITIONS FOR USE THEREWITH AND ADJUNCTS : Include electrolyte chemically specified and method : Chemically specified organic solvent containing : Plural organic solvents (i.e., solvent mixture) : The alkali metal is lithium)

Patent 6153339: Volume holographic data storage with doped high optical quality glass
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : HOLOGRAPHIC PROCESS, COMPOSITION, OR PRODUCT :)

Patent 6153340: Charged-particle-beam microlithography methods and reticles for same exhibiting reduced space-charge and proximity effects
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION MODIFYING PRODUCT OR PROCESS OF MAKING : Radiation mask :)

Patent 6153341: Phase shift mask and phase shift mask blank
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION MODIFYING PRODUCT OR PROCESS OF MAKING : Radiation mask :)

Patent 6153342: Selective spacer methodology for fabricating phase shift masks
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION MODIFYING PRODUCT OR PROCESS OF MAKING : Radiation mask :)

Patent 6153343: Method of forming toner image on image transfer sheet, method of fire fixing image on heat-resistant solid surface, developer and toner-image
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : ELECTRIC OR MAGNETIC IMAGERY, E.G., XEROGRAPHY, ELECTROGRAPHY, MAGNETOGRAPHY, ETC., PROCESS, COMPOSITION, OR PRODUCT : To produce a color reproduction, i.e., color named, or more than one color specified : Identified receptor or named image transfer feature :)

Patent 6153344: Positive charging single-layer type electrophotosensitive material
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : ELECTRIC OR MAGNETIC IMAGERY, E.G., XEROGRAPHY, ELECTROGRAPHY, MAGNETOGRAPHY, ETC., PROCESS, COMPOSITION, OR PRODUCT : Radiation-sensitive composition or product : Sensitized or doped organic radiation conductor : The hetero ring has at least nitrogen as a ring hetero atom)

Patent 6153345: Colored toner for electrophotography
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : ELECTRIC OR MAGNETIC IMAGERY, E.G., XEROGRAPHY, ELECTROGRAPHY, MAGNETOGRAPHY, ETC., PROCESS, COMPOSITION, OR PRODUCT : Post imaging process, finishing, or perfecting composition or product : Finishing or perfecting composition or product : Developing composition or product : Dry toner with chemically identified adjuvant (e.g., charge control agent, colorant, etc.) : Organic nitrogen or organic phosphorus compound adjuvant : Plural nitrogen or phosphorus atoms attached directly or indirectly to each other by nonionic bonding in the adjuvant : Cyanine dye)

Patent 6153346: Electrostatic image developing toner, process for the production thereof, electrostatic image developer and process for the formation of
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : ELECTRIC OR MAGNETIC IMAGERY, E.G., XEROGRAPHY, ELECTROGRAPHY, MAGNETOGRAPHY, ETC., PROCESS, COMPOSITION, OR PRODUCT : Process of making developer composition : By coalescing or aggregating : Carrier core coating)

Patent 6153347: Developing agent and method of manufacturing the same
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : ELECTRIC OR MAGNETIC IMAGERY, E.G., XEROGRAPHY, ELECTROGRAPHY, MAGNETOGRAPHY, ETC., PROCESS, COMPOSITION, OR PRODUCT : Post imaging process, finishing, or perfecting composition or product : Finishing or perfecting composition or product : Developing composition or product : Dry toner with chemically identified adjuvant (e.g., charge control agent, colorant, etc.) : Carboxylic acid or ester compound adjuvant : Heavy metal, aluminum, or silicon in the nitrogen or phosphorus compound)

Patent 6153348: Electrostatic printing of conductors on photoresists and liquid metallic toners therefor
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : ELECTRIC OR MAGNETIC IMAGERY, E.G., XEROGRAPHY, ELECTROGRAPHY, MAGNETOGRAPHY, ETC., PROCESS, COMPOSITION, OR PRODUCT : Post imaging process, finishing, or perfecting composition or product : Liquid development : Charged solid particles deposited out of insulating liquid carrier : Liquid)

Patent 6153349: Photo resist composition
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : DIAZO REPRODUCTION, PROCESS, COMPOSITION, OR PRODUCT : Composition or product which contains radiation sensitive compound having moiety of nitrogen double or triple bonded directly to nitrogen other than chromophore moiety, e.g., triazene containing product, etc., process of making, and composition or product used to finish or develop a diazo reproduction : Radiation-sensitive composition : Using specific adjuvant other than radiation-sensitive diazo compound)

Patent 6153350: Polybenzoxazole and polybenzothiazole precursors
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : DIAZO REPRODUCTION, PROCESS, COMPOSITION, OR PRODUCT : Composition or product which contains radiation sensitive compound having moiety of nitrogen double or triple bonded directly to nitrogen other than chromophore moiety, e.g., triazene containing product, etc., process of making, and composition or product used to finish or develop a diazo reproduction : Radiation-sensitive composition : Quinone diazide containing : Polymeric mixture : 2,5 substitution of benzene nucleus)

Patent 6153351: Imaging element with thin biaxially oriented color layer
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : TRANSFER PROCEDURE BETWEEN IMAGE AND IMAGE LAYER, IMAGE RECEIVING LAYERS, OR ELEMENT CONTAINING AN IMAGE RECEIVING LAYER OR AN INGREDIENT FOR FORMING AN IMAGE RECEIVING LAYER : Imagewise heating, element or image receiving layers therefor or imagewise vapor and gas transfer process, element or image receiving layer therefor : Imagewise vapor or gas transfer process, element or image receiving layer therefor : Azide containing)

Patent 6153352: Planographic printing plate precursor and a method for producing a planographic printing plate
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Radiation sensitive composition or product or process of making : And heterocyclic additive)

Patent 6153353: Method for making positive working printing plates from a heat mode sensitive imaging element
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Radiation sensitive composition or product or process of making : And heterocyclic additive)

Patent 6153354: Electron beam negative working resist composition
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Radiation sensitive composition or product or process of making : And heterocyclic additive)

Patent 6153355: Optical recording medium and method for preparing the same
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Radiation sensitive composition or product or process of making : Optical recording nonstructural layered product having a radiation sensitive composition layer claimed or solely disclosed as optically reorderable and optically machine readable : Having read-write layer of 100 per cent inorganic composition : Which changes phase during recording : Dye developer or leuco dye developer)

Patent 6153356: Photopolymerizable composition, photopolymerizable lithographic printing plate and process for forming an image
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Radiation sensitive composition or product or process of making : Radiation sensitive composition comprising ethylenically unsaturated compound : Metal as backing or protective layer)

Patent 6153357: Process for fabricating semiconductor integrated circuit device, and exposing system and mask inspecting method to be used in the process
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Making electrical device : Including multiple resist image formation : Including etching of substrate)

Patent 6153358: Polyimide as a mask in vapor hydrogen fluoride etching and method of producing a micropoint
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Making electrical device : With formation of resist image, and etching of substrate or material deposition : Including etching of substrate)

Patent 6153359: Process for producing multilayer printed circuit boards
(COMPOSITIONS : ETCHING OR BRIGHTENING COMPOSITIONS : Organic components)

Patent 6153360: Method of removing photo-resist
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Finishing or perfecting composition or product : Post image treatment to produce elevated pattern)

Patent 6153361: Method of removing photoresist at the edge of wafers
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : IMAGING AFFECTING PHYSICAL PROPERTY OF RADIATION SENSITIVE MATERIAL, OR PRODUCING NONPLANAR OR PRINTING SURFACE - PROCESS, COMPOSITION, OR PRODUCT : Finishing or perfecting composition or product : Post image treatment to produce elevated pattern)

Patent 6153362: Overcoat for reticulation control in photographic elements
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : THERMOGRAPHIC PROCESS : Heat applied after imaging : Spiropyran dye or dye former)

Patent 6153363: Protective overcoat comprising interpenetrating network for photographic elements
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : THERMOGRAPHIC PROCESS : Heat applied after imaging : Spiropyran dye or dye former)

Patent 6153364: Photographic processing methods using compositions containing stain reducing agent
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : POST IMAGING PROCESSING : Developing : Using silver and dye bleach)

Patent 6153365: Photographic processing compositions containing stain reducing agent
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : NONRADIATION SENSITIVE IMAGE PROCESSING COMPOSITIONS OR PROCESS OF MAKING : Fixer : Fix-harden)

Patent 6153366: Silver halide photographic light-sensitive material
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Structurally defined : Processing additive containing)

Patent 6153367: Biaxially oriented polyolefin paperless imaging material
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Structurally defined : Processing additive containing)

Patent 6153368: Backside protective overcoat compositions for silver halide photographic elements
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Identified backing or protective layer containing : Antistatic agent containing : Aluminum)

Patent 6153369: Photographic element containing pyrazolone pug releasing coupler and imaging process employing same
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Identified radiation sensitive composition with color producing substance : Silver compound sensitizer : Coupler containing : 2-pyrazolin-5-one coupler : Substituted at coupling position with other than hydrogen : Cyanine chromophore)

Patent 6153370: Silver halide emulsion and silver halide light-sensitive material using the same
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Silver compound sensitizer containing : Silver compound having specified crystal form, habit, particle size or particle size distribution : Dye containing)

Patent 6153371: Silver halide light sensitive emulsion layer having enhanced photographic sensitivity
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Silver compound sensitizer containing : Spectral sensitizing : Cyanine sensitizer : Four or more distinct heterocyclic nuclei)

Patent 6153372: Photothermographic element
(RADIATION IMAGERY CHEMISTRY: PROCESS, COMPOSITION, OR PRODUCT THEREOF : RADIATION SENSITIVE PRODUCT : Silver compound sensitizer containing : Silver compound other than halide, per se, or composition for thermographic process process : Organic silver compound containing : And inorganic silver compound : Polyhetero atom ring fused to another ring having polyhetero atoms)

Patent 6153373: Method for sex determination of mammalian offspring
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MAINTAINING BLOOD OR SPERM IN A PHYSIOLOGICALLY ACTIVE STATE OR COMPOSITIONS THEREOF OR THEREFOR OR METHODS OF IN VITRO BLOOD CELL SEPARATION OR TREATMENT : Including freezing; composition therefor)

Patent 6153374: Method for identifying inhibitors of soraphen A resistant acetyl-coenzyme a carboxylase
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Including freezing; composition therefor)

Patent 6153375: Method of making a library of compounds using a functionalized polymer support resin affixed to a laminar material
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Including freezing; composition therefor)

Patent 6153376: Screening using S. cerevisiae mannosyltransferase encoding genes
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Including freezing; composition therefor)

Patent 6153377: Synthetic DNA derived recombinant HIV antigens
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving virus or bacteriophage :)

Patent 6153378: Diagnosis of, and vaccination against, a positive stranded RNA virus using an isolated, unprocessed polypeptide encoded by a substantially
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving virus or bacteriophage :)

Patent 6153379: Parallel primer extension approach to nucleic acid sequence analysis
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153380: Methods for screening for transdominant intracellular effector peptides and RNA molecules
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153381: Screening for antibiotics
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153382: Viral growth inhibition
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153383: Synthetic transcriptional modulators and uses thereof
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153384: High throughput screening assays for nucleic acid ligase modulators
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153385: Process for detecting the expression of CD95 ligand in cells
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153386: Method to determine predisposition to hypertension
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153387: NES-1 polypeptides, DNA, and related molecules and methods
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153388: Method of determining melanoma micrometastasis using tyrosinase
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153389: DNA additives as a mechanism for unambiguously marking biological samples
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153390: TagA gene and methods for detecting predisposition to peptic ulceration and gastric carcinoma
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving nucleic acid :)

Patent 6153391: Interruption of binding of MDM2 and P53 protein and therapeutic application thereof
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving antigen-antibody binding, specific binding protein assay or specific ligand-receptor binding assay :)

Patent 6153392: Devices and methods comprising an HBcAg from hepatitis B virus
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving antigen-antibody binding, specific binding protein assay or specific ligand-receptor binding assay :)

Patent 6153393: Elimination of interference in diagnostic methods by peptides comprising D-amino acids
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving antigen-antibody binding, specific binding protein assay or specific ligand-receptor binding assay :)

Patent 6153394: Immunoassay for equine protozoal myeloencephalitis in horses
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving antigen-antibody binding, specific binding protein assay or specific ligand-receptor binding assay : Involving a micro-organism or cell membrane bound antigen or cell membrane bound receptor or cell membrane bound antibody or microbial lysate : Animal cell : Parasite or protozoa :)

Patent 6153395: ICAM-related protein
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving antigen-antibody binding, specific binding protein assay or specific ligand-receptor binding assay : Involving a micro-organism or cell membrane bound antigen or cell membrane bound receptor or cell membrane bound antibody or microbial lysate : Animal cell : Leukocyte (e.g., lymphocyte, granulocyte, monocyte, etc.) :)

Patent 6153396: Treatment or prophylaxis of diseases caused by pilus-forming bacteria
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving antigen-antibody binding, specific binding protein assay or specific ligand-receptor binding assay : Involving a micro-organism or cell membrane bound antigen or cell membrane bound receptor or cell membrane bound antibody or microbial lysate : Bacteria or actinomycetales : Erythrocyte)

Patent 6153397: Flea epoxide hydrolase proteins and uses thereof
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving hydrolase : Involving creatine phosphokinase)

Patent 6153398: Method to identify specific inhibitors of IMP dehydrogenase
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving oxidoreductase : Involving dehydrogenase : Involving phosphatase)

Patent 6153399: Determining ascorbic acid using ASOD and LEUCO chromogen
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving oxidoreductase : Involving peroxidase : Involving phosphatase)

Patent 6153400: Device and method for microbial antibiotic susceptibility testing
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MEASURING OR TESTING PROCESS INVOLVING ENZYMES OR MICRO-ORGANISMS; COMPOSITION OR TEST STRIP THEREFORE; PROCESSES OF FORMING SUCH COMPOSITION OR TEST STRIP : Involving viable micro-organism : Testing for antimicrobial activity of a material : Involving phosphatase)

Patent 6153401: Microorganisms and plasmids for 2,4-dichlorophenoxyacetic acid (2,4-D) monooxygenase formation and process for the production of these
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Dehydrogenating; dehydroxylating)

Patent 6153402: Death domain containing receptors
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Dehydrogenating; dehydroxylating)

Patent 6153403: Lysosomal-associated multispanning membrane protein, LAPTM5 and nucleic acid encoding LAPTM5
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Dehydrogenating; dehydroxylating)

Patent 6153404: Regulatory nucleotide sequence of the initiation of transcription
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Dehydrogenating; dehydroxylating)

Patent 6153405: Lantibiotic mutants and chimeras of enhanced stability and activity, leader sequences therefor, genes encoding the same, and methods of
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Dehydrogenating; dehydroxylating)

Patent 6153406: Method for the high level expression, purification and refolding of the outer membrane protein P2 from Haemophilus influenzae type B
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Antigens : Forming an aryl ring from "A" ring)

Patent 6153407: Erythropoietin DNA having modified 5' and 3' sequences and its use to prepare EPO therapeutics
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Hormones and fragments thereof : Forming an aryl ring from "A" ring)

Patent 6153408: Altered major histocompatibility complex (MHC) determinant and methods of using the determinant
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Fusion proteins or polypeptides : Interleukins)

Patent 6153409: Process for continuous optimized protein production in insect larvae
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Recombinant DNA technique included in method of making a protein or polypeptide : Fusion proteins or polypeptides : Interleukins)

Patent 6153410: Recombination of polynucleotide sequences using random or defined primers
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Preparing compound containing saccharide radical : Preparing nitrogen-containing saccharide : N-glycoside : Nucleotide : Polynucleotide (e.g., nucleic acid, oligonucleotide, etc.) : Acellular exponential or geometric amplification (e.g., PCR, etc.) :)

Patent 6153411: Methods and kits for detection of Cryptosporidium parvum using immunomagnetic separation and amplification
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Preparing compound containing saccharide radical : Preparing nitrogen-containing saccharide : N-glycoside : Nucleotide : Polynucleotide (e.g., nucleic acid, oligonucleotide, etc.) : Acellular exponential or geometric amplification (e.g., PCR, etc.) :)

Patent 6153412: Lyophilized reagent for polymerase chain reaction
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Preparing compound containing saccharide radical : Preparing nitrogen-containing saccharide : N-glycoside : Nucleotide : Polynucleotide (e.g., nucleic acid, oligonucleotide, etc.) : Acellular exponential or geometric amplification (e.g., PCR, etc.) :)

Patent 6153413: Method for processing bacterial cellulose
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Preparing compound containing saccharide radical : Polysaccharide of more than five saccharide radicals attached to each other by glycosidic bonds : N-glycoside)

Patent 6153414: Method for racemic biochemical resolution of CIS-and trans-pyprolopiperidine
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Preparing heterocyclic carbon compound having only O, N, S, Se, or Te as ring hetero atoms : Containing two or more hetero rings : Containing at least two hetero rings bridged or fused among themselves or bridged or fused with a common carbocyclic ring system, (e.g., rifamycin, etc.) : Nucleotide)

Patent 6153415: Method for producing amide compounds using a nitrile hydratase from a thermophilic bacillus
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, TISSUE CELL CULTURE OR ENZYME USING PROCESS TO SYNTHESIZE A DESIRED CHEMICAL COMPOUND OR COMPOSITION : Preparing nitrogen-containing organic compound : Amide (e.g., chloramphenicol, etc.) : Containing five-membered hetero ring (e.g., griseofulvin, etc.))

Patent 6153416: Immobilization of microbial cells and enzymes in calcium alginate-polyethylene glycol-polyethylene imide beads
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : CARRIER-BOUND OR IMMOBILIZED ENZYME OR MICROBIAL CELL; CARRIER-BOUND OR IMMOBILIZED CELL; PREPARATION THEREOF : Enzyme or microbial cell is immobilized on or in an organic carrier : Carrier is carbohydrate : Electroporation)

Patent 6153417: CSAPK-1 protein and uses therefor
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ENZYME (E.G., LIGASES (6. ), ETC.), PROENZYME; COMPOSITIONS THEREOF; PROCESS FOR PREPARING, ACTIVATING, INHIBITING, SEPARATING, OR PURIFYING ENZYMES : Transferase other than ribonuclease (2.) : Transferring phosphorus containing group (e.g., kineases, etc.(2.7)) : Enzyme or microbial cell is entrapped within the carrier (e.g., gel, hollow fibre))

Patent 6153418: Consensus phytases
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ENZYME (E.G., LIGASES (6. ), ETC.), PROENZYME; COMPOSITIONS THEREOF; PROCESS FOR PREPARING, ACTIVATING, INHIBITING, SEPARATING, OR PURIFYING ENZYMES : Hydrolase (3. ) : Transferring phosphorus containing group (e.g., kineases, etc.(2.7)))

Patent 6153419: Method for quantitative determination of 1,5-anhydroglucitol
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ENZYME (E.G., LIGASES (6. ), ETC.), PROENZYME; COMPOSITIONS THEREOF; PROCESS FOR PREPARING, ACTIVATING, INHIBITING, SEPARATING, OR PURIFYING ENZYMES : Hydrolase (3. ) : Acting on glycosyl compound (3.2) : Ribonuclease (3.1.4))

Patent 6153420: Serine protease polypeptides and materials and methods for making them
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ENZYME (E.G., LIGASES (6. ), ETC.), PROENZYME; COMPOSITIONS THEREOF; PROCESS FOR PREPARING, ACTIVATING, INHIBITING, SEPARATING, OR PURIFYING ENZYMES : Hydrolase (3. ) : Acting on peptide bond (e.g., thromboplastin, leucine amino-peptidase, etc., (3.4)) : Proteinase : Derived from animal tissue (e.g., rennin, etc.) : From aspergillus)

Patent 6153421: Cloned genomes of infectious hepatitis C viruses and uses thereof
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : VIRUS OR BACTERIOPHAGE, EXCEPT FOR VIRAL VECTOR OR BACTERIOPHAGE VECTOR; COMPOSITION THEREOF; PREPARATION OR PURIFICATION THEREOF; PRODUCTION OF VIRAL SUBUNITS; MEDIA FOR PROPAGATING : Isomerase (5. ))

Patent 6153422: Oxygen absorber for culturing anaerobic bacteria
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, PER SE (E.G., PROTOZOA, ETC.); COMPOSITIONS THEREOF; PROCES OF PROPAGATING, MAINTAINING OR PRESERVING MICRO-ORGANISMS OR COMPOSITIONS THEREOF; PROCESS OF PREPARING OR ISOLATING A COMPOSITION CONTAINING A MICRO-ORGANISM; CULTURE MEDIA THEREFOR : Medium, per se, for culture, maintenance, regeneration, etc.)

Patent 6153423: Human myotrophin
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, PER SE (E.G., PROTOZOA, ETC.); COMPOSITIONS THEREOF; PROCES OF PROPAGATING, MAINTAINING OR PRESERVING MICRO-ORGANISMS OR COMPOSITIONS THEREOF; PROCESS OF PREPARING OR ISOLATING A COMPOSITION CONTAINING A MICRO-ORGANISM; CULTURE MEDIA THEREFOR : Bacteria or actinomycetales; media therefor : Transformants (e.g., recombinant DNA or vector or foreign or exogenous gene containing, fused bacteria, etc.) : Having five or less carbon atoms)

Patent 6153424: Protease-deficient strains of Pichia methanolica
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : MICRO-ORGANISM, PER SE (E.G., PROTOZOA, ETC.); COMPOSITIONS THEREOF; PROCES OF PROPAGATING, MAINTAINING OR PRESERVING MICRO-ORGANISMS OR COMPOSITIONS THEREOF; PROCESS OF PREPARING OR ISOLATING A COMPOSITION CONTAINING A MICRO-ORGANISM; CULTURE MEDIA THEREFOR : Fungi : Transformants : Yeast; media therefor : Pichia : Binds a T-lymphocytic cell or component or product thereof (e.g., T-cell, thymocyte, T-lineage bone marrow cell, T-lymphoblastoid cell, T-lineage acute lymphoblastic leukemia cell, T-lymphocytic cell surface antigen, etc.))

Patent 6153425: Self-contained device integrating nucleic acid extraction, amplification and detection
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : APPARATUS : Including measuring or testing : Measuring or testing for antibody or nucleic acid, or measuring or testing using antibody or nucleic acid : Including liquid dispenser means)

Patent 6153426: Thermocycler apparatus
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : APPARATUS : Including measuring or testing : Measuring or testing for antibody or nucleic acid, or measuring or testing using antibody or nucleic acid : Including liquid dispenser means)

Patent 6153427: Erythropoietin-inducible, erythroid-specific DNA construct
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : VECTOR, PER SE (E.G., PLASMID, HYBRID PLASMID, COSMID, VIRAL VECTOR, BACTERIOPHAGE VECTOR, ETC.) BACTERIOPHAGE VECTOR, ETC.) : Separation of micro-organism from culture media)

Patent 6153428: .alpha.(1,3) galactosyltransferase negative porcine cells
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ANIMAL CELL, PER SE (E.G., CELL LINES, ETC.); COMPOSITION THEREOF; PROCESS OF PROPAGATING, MAINTAINING OR PRESERVING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF ISOLATING OR SEPARATING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF PREPARING A COMPOSITION CONTAINING AN ANIMAL CELL; CULTURE MEDIA THEREFORE : Recovery or purification)

Patent 6153429: Cell lines useful for in vitro assay for indentification of carcinogens
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ANIMAL CELL, PER SE (E.G., CELL LINES, ETC.); COMPOSITION THEREOF; PROCESS OF PROPAGATING, MAINTAINING OR PRESERVING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF ISOLATING OR SEPARATING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF PREPARING A COMPOSITION CONTAINING AN ANIMAL CELL; CULTURE MEDIA THEREFORE : Recovery or purification)

Patent 6153430: Nucleic acid encoding mesothelin, a differentiation antigen present on mesothelium, mesotheliomas and ovarian cancers
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ANIMAL CELL, PER SE (E.G., CELL LINES, ETC.); COMPOSITION THEREOF; PROCESS OF PROPAGATING, MAINTAINING OR PRESERVING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF ISOLATING OR SEPARATING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF PREPARING A COMPOSITION CONTAINING AN ANIMAL CELL; CULTURE MEDIA THEREFORE : Recovery or purification)

Patent 6153431: Human immunodeficiency virus co-receptor variants associated with resistance to virus infection
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ANIMAL CELL, PER SE (E.G., CELL LINES, ETC.); COMPOSITION THEREOF; PROCESS OF PROPAGATING, MAINTAINING OR PRESERVING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF ISOLATING OR SEPARATING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF PREPARING A COMPOSITION CONTAINING AN ANIMAL CELL; CULTURE MEDIA THEREFORE : Primate cell, per se : Human : Blood, lymphatic, or bone marrow origin or derivative : T-cell or derivative : Binds a T-lymphocytic cell or component or product thereof (e.g., T-cell, thymocyte, T-lineage bone marrow cell, T-lymphoblastoid cell, T-lineage acute lymphoblastic leukemia cell, T-lymphocytic cell surface antigen, etc.))

Patent 6153432: Methods for the differentiation of human preadipocytes into adipocytes
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : ANIMAL CELL, PER SE (E.G., CELL LINES, ETC.); COMPOSITION THEREOF; PROCESS OF PROPAGATING, MAINTAINING OR PRESERVING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF ISOLATING OR SEPARATING AN ANIMAL CELL OR COMPOSITION THEREOF; PROCESS OF PREPARING A COMPOSITION CONTAINING AN ANIMAL CELL; CULTURE MEDIA THEREFORE : Method of regulating cell metabolism or physiology : Method of altering the differentiation state of the cell : Blood, lymphatic, or bone marrow origin or derivative)

Patent 6153433: Inhibitor for viral replication
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : PROCESS OF MUTATION, CELL FUSION, OR GENETIC MODIFICATION : Introduction of a polynucleotide molecule into or rearrangement of nucleic acid within an animal cell : One of the fusing cells is a microorganism (e.g., prokaryote, fungus, etc.))

Patent 6153434: Methods for the intracellular delivery of substances
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : PROCESS OF MUTATION, CELL FUSION, OR GENETIC MODIFICATION : Introduction of a polynucleotide molecule into or rearrangement of nucleic acid within an animal cell : One of the fusing cells is a microorganism (e.g., prokaryote, fungus, etc.))

Patent 6153435: Nucleic acid that encodes a chimeric adenoviral coat protein
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : PROCESS OF MUTATION, CELL FUSION, OR GENETIC MODIFICATION : Introduction of a polynucleotide molecule into or rearrangement of nucleic acid within an animal cell : One of the fusing cells is a microorganism (e.g., prokaryote, fungus, etc.))

Patent 6153436: Method of gene delivery using wildtype adeno associated viral (AAV) vectors with insertions
(CHEMISTRY: MOLECULAR BIOLOGY AND MICROBIOLOGY : PROCESS OF MUTATION, CELL FUSION, OR GENETIC MODIFICATION : Introduction of a polynucleotide molecule into or rearrangement of nucleic acid within an animal cell : The polynucleotide is encapsidated within a virus or viral coat : Acyclic)

Patent 6153437: Method for sequential chemical treatment of less than milligram quantities of a plurality of chemical samples
(CHEMISTRY: ANALYTICAL AND IMMUNOLOGICAL TESTING : AUTOMATED CHEMICAL ANALYSIS : With aspirator of claimed structure : With formation of a segmented stream)

Patent 6153438: Retention factor database
(CHEMISTRY: ANALYTICAL AND IMMUNOLOGICAL TESTING : INCLUDING CHROMATOGRAPHY : Combustion with oxygen containing gas)

Patent 6153439: Method of analyzing body fluids
(CHEMISTRY: ANALYTICAL AND IMMUNOLOGICAL TESTING : OPTICAL RESULT : With reagent in absorbent or bibulous substrate : Liquid sorbent)

Patent 6153440: Simultaneous measurement of free triiodothyronine and free thyroxine by equilibrium dialysis and immunoassay
(CHEMISTRY: ANALYTICAL AND IMMUNOLOGICAL TESTING : THYROID HORMONE TESTS (E.G., T3, T4, TBG, TSH, ETC.) :)

Patent 6153441: Methods of screening for agonists and antagonists for human CCR7 receptor and CK.beta.-9 ligand and interaction thereof
(CHEMISTRY: ANALYTICAL AND IMMUNOLOGICAL TESTING : BIOSPECIFIC LIGAND BINDING ASSAY :)

Patent 6153442: Reagents and methods for specific binding assays
(CHEMISTRY: ANALYTICAL AND IMMUNOLOGICAL TESTING : INVOLVING AN INSOLUBLE CARRIER FOR IMMOBILIZING IMMUNOCHEMICALS : Carrier is organic : Carrier is synthetic resin : Antigen or antibody attached to a carrier via bridging agent : Carrier is water suspendible particles (e.g., latex, etc.) :)

Patent 6153443: Method of fabricating a magnetic random access memory
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : HAVING MAGNETIC OR FERROELECTRIC COMPONENT :)

Patent 6153444: Method of measuring free carrier concentration and/or thickness of a semiconductor and process of manufacturing semiconductor device and
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : WITH MEASURING OR TESTING : Optical characteristic sensed : Altering electrical property by material removal)

Patent 6153445: Method of manufacturing semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING DEVICE OR CIRCUIT EMISSIVE OF NONELECTRICAL SIGNAL : Including integrally formed optical element (e.g., reflective layer, luminescent material, contoured surface, etc.) : Liquid crystal component : Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor)

Patent 6153446: Method for forming a metallic reflecting layer in a semiconductor photodiode
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING DEVICE OR CIRCUIT RESPONSIVE TO NONELECTRICAL SIGNAL : Responsive to electromagnetic radiation : Including integrally formed optical element (e.g., reflective layer, luminescent layer, etc.) : Having reflective or antireflective component : Assembly of plural semiconductor substrates)

Patent 6153447: LSI package and manufacturing method thereof
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : PACKAGING (E.G., WITH MOUNTING, ENCAPSULATING, ETC.) OR TREATMENT OF PACKAGED SEMICONDUCTOR : Direct application of electrical current)

Patent 6153448: Semiconductor device manufacturing method
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : PACKAGING (E.G., WITH MOUNTING, ENCAPSULATING, ETC.) OR TREATMENT OF PACKAGED SEMICONDUCTOR : Making plural separate devices : Substrate dicing : Utilizing a coating to perfect the dicing : Specified shape junction barrier (e.g., V-grooved junction, etc.))

Patent 6153449: Method for creating a hermetic seal and package made thereby
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : PACKAGING (E.G., WITH MOUNTING, ENCAPSULATING, ETC.) OR TREATMENT OF PACKAGED SEMICONDUCTOR : Encapsulating : And encapsulating)

Patent 6153450: Method of utilizing fuses to select alternative modules in a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING DEVICE ARRAY AND SELECTIVELY INTERCONNECTING : Rendering selected devices operable or inoperable : And encapsulating)

Patent 6153451: Transistor with increased operating voltage and method of fabrication
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including isolation structure)

Patent 6153452: Method of manufacturing semiconductor devices having improved polycide integrity through introduction of a silicon layer within the polycide
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Complementary insulated gate field effect transistors (i.e., CMOS) : Multiple parallel current paths (e.g., grid gate, etc.))

Patent 6153453: JFET transistor manufacturing method
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Complementary insulated gate field effect transistors (i.e., CMOS) : And additional electrical device : Utilizing gate sidewall structure)

Patent 6153454: Convex device with selectively doped channel
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Complementary insulated gate field effect transistors (i.e., CMOS) : Including isolation structure : Recessed oxide formed by localized oxidation (i.e., LOCOS) : Having well structure of opposite conductivity type)

Patent 6153455: Method of fabricating ultra shallow junction CMOS transistors with nitride disposable spacer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Complementary insulated gate field effect transistors (i.e., CMOS) : Self-aligned : Utilizing gate sidewall structure : Plural doping steps : Plural wells)

Patent 6153456: Method of selectively applying dopants to an integrated circuit semiconductor device without using a mask
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Complementary insulated gate field effect transistors (i.e., CMOS) : Self-aligned : Plural doping steps : Plural doping steps)

Patent 6153457: Method of fabricating self-align-contact
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Complementary insulated gate field effect transistors (i.e., CMOS) : And contact formation : Plural doping steps)

Patent 6153458: Method of forming a portion of a memory cell
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Lateral bipolar transistor)

Patent 6153459: Method of fabricating dual gate structure of embedded DRAM
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Lateral bipolar transistor)

Patent 6153460: Method of fabricating semiconductor memory device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Lateral bipolar transistor)

Patent 6153461: Manufacturing method of a dielectric layer for DRAM capacitors
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Having high dielectric constant insulator (e.g., Ta2O5, etc.) : Plural doping steps)

Patent 6153462: Manufacturing process and structure of capacitor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including doping of semiconductive region)

Patent 6153463: Triple plate capacitor and method for manufacturing
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including doping of semiconductive region)

Patent 6153464: Method of fabricating a semiconductor memory device having a branching capacitor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including selectively removing material to undercut and expose storage node layer : Multiple doping steps)

Patent 6153465: Method of fabricating a capacitor of dynamic random access memory
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including texturizing storage node layer : Multiple doping steps)

Patent 6153466: Method for increasing capacitance
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including texturizing storage node layer : Multiple doping steps)

Patent 6153467: Method of fabricating high density buried bit line flash EEPROM memory cell with a shallow trench floating gate
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Capacitor)

Patent 6153468: Method of forming a logic array for a decoder
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Capacitor)

Patent 6153469: Method of fabricating cell of flash memory device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Capacitor)

Patent 6153470: Floating gate engineering to improve tunnel oxide reliability for flash memory devices
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Capacitor)

Patent 6153471: Method of fabricating flash memory
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Including elongated source or drain region disposed under thick oxide regions (e.g., buried or diffused bitline, etc.) : Tunneling insulator : Contacts formed by selective growth or deposition)

Patent 6153472: Method for fabricating a flash memory
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Tunneling insulator : Tunneling insulator)

Patent 6153473: Method of symmetrically implanted punch-through stopper for a rugged DMOS power device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Vertical channel : Having additional, nonmemory control electrode or channel portion (e.g., for accessing field effect transistor structure, etc.))

Patent 6153474: Method of controllably forming a LOCOS oxide layer over a portion of a vertically extending sidewall of a trench extending into a
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Vertical channel : Gate electrode in trench or recess in semiconductor substrate : Including forming gate electrode as conductive sidewall spacer to another electrode)

Patent 6153475: Method for the manufacturing a memory cell configuration
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Vertical channel : Gate electrode in trench or recess in semiconductor substrate : Including forming gate electrode as conductive sidewall spacer to another electrode)

Patent 6153476: Semiconductor device and method for manufacturing the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Making plural insulated gate field effect transistors of differing electrical characteristics : Having integral short of source and base regions)

Patent 6153477: Ultra short transistor channel length formed using a gate dielectric having a relatively high dielectric constant
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Asymmetric : Introducing a dopant into the channel region of selected transistors)

Patent 6153478: STI process for eliminating kink effect
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including isolation structure : Dielectric isolation formed by grooving and refilling with dielectric material : After formation of source or drain regions and gate electrode (e.g., late programming, encoding, etc.))

Patent 6153479: Method of fabricating shallow trench isolation structures
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including isolation structure : Dielectric isolation formed by grooving and refilling with dielectric material : After formation of source or drain regions and gate electrode (e.g., late programming, encoding, etc.))

Patent 6153480: Advanced trench sidewall oxide for shallow trench technology
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including isolation structure : Dielectric isolation formed by grooving and refilling with dielectric material : After formation of source or drain regions and gate electrode (e.g., late programming, encoding, etc.))

Patent 6153481: Method for forming an isolation insulating film for internal elements of a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including isolation structure : Recessed oxide formed by localized oxidation (i.e., LOCOS) : After formation of source or drain regions and gate electrode (e.g., late programming, encoding, etc.))

Patent 6153482: Method for fabricating LOCOS isolation having a planar surface which includes having the polish stop layer at a lower level than the LOCOS
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including isolation structure : Recessed oxide formed by localized oxidation (i.e., LOCOS) : After formation of source or drain regions and gate electrode (e.g., late programming, encoding, etc.))

Patent 6153483: Method for manufacturing MOS device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Recessed oxide formed by localized oxidation (i.e., LOCOS))

Patent 6153484: Etching process of CoSi.sub.2 layers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Doping region beneath recessed oxide (e.g., to form chanstop, etc.))

Patent 6153485: Salicide formation on narrow poly lines by pulling back of spacer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Utilizing gate sidewall structure : Plural doping steps : Multiple doping steps)

Patent 6153486: Method for establishing shallow junction in semiconductor device to minimize junction capacitance
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Plural doping steps : Plural doping steps)

Patent 6153487: Approach for the formation of semiconductor devices which reduces band-to-band tunneling current and short-channel effects
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Plural doping steps : Using same conductivity-type dopant : Multiple doping steps)

Patent 6153488: Method for producing semiconductor device, and semiconductor device produced by same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Walled emitter : Self-aligned)

Patent 6153489: Fabrication method of inductor devices using a substrate conversion technique
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.))

Patent 6153490: Method for forming integrated circuit capacitor and memory
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Stacked capacitor : Including doping of semiconductive region)

Patent 6153491: Overhanging separator for self-defining discontinuous film
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Stacked capacitor : Including selectively removing material to undercut and expose storage node layer : Multiple doping steps)

Patent 6153492: Method for improving the readability of alignment marks
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Having substrate registration feature (e.g., alignment mark) : Having contacts formed by selective growth or deposition)

Patent 6153493: Method of manufacturing semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Total dielectric isolation : Encroachment of separate locally oxidized regions : Porous semiconductor formation)

Patent 6153494: Method to increase the coupling ratio of word line to floating gate by lateral coupling in stacked-gate flash
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Enclosed cavity)

Patent 6153495: Advanced methods for making semiconductor devices by low temperature direct bonding
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : BONDING OF PLURAL SEMICONDUCTOR SUBSTRATES : Thinning of semiconductor substrate : And electrical conductor formation (i.e., metallization))

Patent 6153496: Process for the production of polycrystalline silicon mouldings substantially free of edge regions and the use of these mouldings
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF SEMICONDUCTIVE ACTIVE REGION ON ANY SUBSTRATE (E.G., FLUID GROWTH, DEPOSITION) : Polycrystalline semiconductor : And subsequent crystallization)

Patent 6153497: Method for determining a cause for defects in a film deposited on a wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Ion implantation of dopant into semiconductor region : Ionized molecules : Ion implantation)

Patent 6153498: Method of fabricating a buried contact
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Ion implantation of dopant into semiconductor region : Forming buried region : And contact formation (i.e., metallization))

Patent 6153499: Method of manufacturing semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING SCHOTTKY JUNCTION (I.E., SEMICONDUCTOR-CONDUCTOR RECTIFYING JUNCTION CONTACT) : Compound semiconductor : Into grooved or recessed semiconductor region : Forming electrode of specified shape (e.g., slanted, etc.) : T-shaped electrode : Through same mask opening)

Patent 6153500: Atomic wire and atomic wire switch
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Using refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6153501: Method of reducing overetch during the formation of a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Silicide)

Patent 6153502: Method of fabricating semiconductor device having analog properties
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Having sidewall structure : Separated by insulator (i.e., floating gate))

Patent 6153503: Continuous process for producing solder bumps on electrodes of semiconductor chips
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Ga and As containing semiconductor)

Patent 6153504: Method of using a silicon oxynitride ARC for final metal layer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Ga and As containing semiconductor)

Patent 6153505: Plastic solder array using injection molded solder
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Ga and As containing semiconductor)

Patent 6153506: Integrated circuit having reduced probability of wire-bond failure
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Including fusion of conductor : By wire bonding : Using same conductivity-type dopant)

Patent 6153507: Method of fabricating semiconductor device providing effective resistance against metal layer oxidation and diffusion
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Bump electrode)

Patent 6153508: Multi-layer circuit having a via matrix interlayer connection and method for fabricating the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including fusion of conductor)

Patent 6153509: Method of manufacturing a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including fusion of conductor)

Patent 6153510: Semiconductor device and method for manufacturing the same, and semiconductor memory device and method for manufacturing the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including fusion of conductor)

Patent 6153511: Semiconductor device having a multilayered interconnection structure
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including organic insulating material between metal levels : By wire bonding)

Patent 6153512: Process to improve adhesion of HSQ to underlying materials
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Separating insulating layer is laminate or composite of plural insulating materials : By wire bonding)

Patent 6153513: Method of fabricating self-aligned capacitor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : With formation of opening (i.e., viahole) in insulative layer : Having viahole with sidewall component : Silicide formation)

Patent 6153514: Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : With formation of opening (i.e., viahole) in insulative layer : Having viahole of tapered shape : Silicide formation)

Patent 6153515: Method of forming multilayered film
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Diverse conductors : At least one layer forms a diffusion barrier : Having viahole of tapered shape)

Patent 6153516: Method of fabricating a modified polysilicon plug structure
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Diverse conductors : Having electrically conductive polysilicon component : Utilizing reflow)

Patent 6153517: Low resistance poly landing pad
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Plural layered electrode or conductor : Having electrically conductive polysilicon component : Having noble group metal (i.e., silver (Ag), gold (Au), platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof))

Patent 6153518: Method of making chip size package substrate
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : And patterning of conductive layer : Plug formation (i.e., in viahole) : Forming silicide)

Patent 6153519: Method of forming a barrier layer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Utilizing chemical vapor deposition (i.e., CVD) : Of organo-metallic precursor (i.e., MOCVD) : Forming silicide)

Patent 6153520: Method for fabricating self-aligned silicide
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Silicide : Of organo-metallic precursor (i.e., MOCVD))

Patent 6153521: Metallized interconnection structure and method of making the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Copper of copper alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6153522: Semiconductor device manufacturing method
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Copper of copper alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6153523: Method of forming high density capping layers for copper interconnects with improved adhesion
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Copper of copper alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6153524: Cluster tool method using plasma immersion ion implantation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6153525: Methods for chemical mechanical polish of organic polymer dielectric films
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6153526: Method to remove residue in wolfram CMP
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6153527: Semiconductor processing method of making electrical contact to a node received within a mass of insulating dielectric material
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with coating step : Coating of sidewall : Simultaneous (e.g., chemical-mechanical polishing, etc.))

Patent 6153528: Method of fabricating a dual damascene structure
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with coating step : Planarization by etching and coating : Simultaneous (e.g., chemical-mechanical polishing, etc.))

Patent 6153529: Photo-assisted remote plasma apparatus and method
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : Photo-induced etching : Utilizing particulate abradant)

Patent 6153530: Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Electrically conductive material (e.g., metal, conductive oxide, etc.) : Silicide)

Patent 6153531: Method for preventing electrochemical erosion of interconnect structures
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Liquid phase etching : Differential etching of semiconductor substrate)

Patent 6153532: Methods and apparatuses for removing material from discrete areas on a semiconductor wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Liquid phase etching : Differential etching of semiconductor substrate)

Patent 6153533: Method of using a compliant process cassette
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Liquid phase etching : Differential etching of semiconductor substrate)

Patent 6153534: Method for fabricating a dual material gate of a short channel field effect transistor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Liquid phase etching : Differential etching of semiconductor substrate)

Patent 6153535: Method for removing a thin film for a window glass
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Liquid phase etching : Electrically conductive material (e.g., metal, conductive oxide, etc.) : To same side of substrate)

Patent 6153536: Method for mounting wafer frame at back side grinding (BSG) tool
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Liquid phase etching)

Patent 6153537: Process for the production of a semiconductor device having better interface adhesion between dielectric layers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Multiple layers : Layers formed of diverse composition or by diverse coating processes : Silicide)

Patent 6153538: Method of making MOSFET with ultra-thin gate oxide
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : By reaction with substrate : Implantation of ion (e.g., to form ion amorphousized region prior to selective oxidation, reacting with substrate to form insulative region, etc.) : Silicide)

Patent 6153539: Liquid phase deposition method for growing a titanium dioxide on a gallium arsenide substrate
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : By reaction with substrate : Compound semiconductor substrate : Silicide)

Patent 6153540: Method of forming phosphosilicate glass having a high wet-etch rate
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Reaction with silicon semiconductive region (e.g., oxynitride formation, etc.))

Patent 6153541: Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Tertiary silicon containing compound formation (e.g., oxynitride formation, etc.) : Introduction simultaneous with deposition)

Patent 6153542: Method of manufacturing semiconductor devices
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Silicon oxide formation : Introduction simultaneous with deposition)

Patent 6153543: High density plasma passivation layer and method of application
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Silicon nitride formation : Organic reactant)

Patent 6153544: Flame inhibitor composition and method of application
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : COATED OR IMPREGNATED WOVEN, KNIT, OR NONWOVEN FABRIC WHICH IS NOT (A) ASSOCIATED WITH ANOTHER PREFORMED LAYER OR FIBER LAYER OR, (B) WITH RESPECT TO WOVEN AND KNIT, CHARACTERIZED, RESPECTIVELY, BY A PARTICULAR OR DIFFERENTIAL WEAVE OR KNIT, WHEREIN THE COATING OR IMPREGNATION IS NEITHER A FOAMED MATERIAL NOR A FREE METAL OR ALLOY LAYER : Coated or impregnated natural fiber fabric (e.g., cotton, wool, silk, linen, etc.) : Coated or impregnated cellulosic fiber fabric : A phosphorus containing compound and a halogen containing compound)

Patent 6153545: Technical fabrics for airbags
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : WOVEN FABRIC (I.E., WOVEN STRAND OR STRIP MATERIAL) : Including strand which is of specific structural definition : Multiple coatings)

Patent 6153546: Ultralow-loss silica glass and optical fibers using the same
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Glass compositions, compositions containing glass other than those wherein glass is a bonding agent, or glass batch forming compositions : Fibers : Optical fibers : Zinc containing)

Patent 6153547: Open-celled porous sintered products and their production
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Pore-forming : Silica containing)

Patent 6153548: NU-85 zeolite catalyst and a process for improving the pour point of feeds containing paraffins
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : ZEOLITE OR CLAY, INCLUDING GALLIUM ANALOGS : And additional AL or Si containing component : Steam)

Patent 6153549: Metallocenes
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Plural component system comprising A - Group I to IV metal hydride or organometallic compound - and B - Group IV to VIII metal, lanthanide or actinde compound - (i.e., alkali metal, Ag, Au, Cu, alkaline earth metal, Be, Mg, Zn, Cd, Hg, Sc, Y, Al, Ga, In, Tl, Ti, Zn, Hf, Ge, Sn or Pb hydride or organometallic compound and Ti, Zr, Hf, Ge, Sn, Pb, V, Nb, Ta, As, Sb, Bi, Cr, Mo, W, Po, Mn, Tc, Re, Iron group, Platinum group, atomic number 57 to 71 inclusive or atomic number 89 or higher compound) : Component A metal is Group IA, IIA or IIIA and component B metal is Group IVB to VIIB or VIII (i.e., alkali metal, alkaline earth Metal, Be, Mg, Al, Ga, In or Tl and Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Tc, Re, iron Group or Platinum group) (e.g., Ziegler Catalyst, etc.) : Sulfuric or hydrochloric acid)

Patent 6153550: Olefin polymerization catalyst based on metallocene complexes and perfluorinated oligoaryl derivatives of aluminates
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Plural component system comprising A - Group I to IV metal hydride or organometallic compound - and B - Group IV to VIII metal, lanthanide or actinde compound - (i.e., alkali metal, Ag, Au, Cu, alkaline earth metal, Be, Mg, Zn, Cd, Hg, Sc, Y, Al, Ga, In, Tl, Ti, Zn, Hf, Ge, Sn or Pb hydride or organometallic compound and Ti, Zr, Hf, Ge, Sn, Pb, V, Nb, Ta, As, Sb, Bi, Cr, Mo, W, Po, Mn, Tc, Re, Iron group, Platinum group, atomic number 57 to 71 inclusive or atomic number 89 or higher compound) : Component A metal is Group IA, IIA or IIIA and component B metal is Group IVB to VIIB or VIII (i.e., alkali metal, alkaline earth Metal, Be, Mg, Al, Ga, In or Tl and Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Tc, Re, iron Group or Platinum group) (e.g., Ziegler Catalyst, etc.) : Sulfuric or hydrochloric acid)

Patent 6153551: Preparation of supported catalyst using trialkylaluminum-metallocene contact products
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Plural component system comprising A - Group I to IV metal hydride or organometallic compound - and B - Group IV to VIII metal, lanthanide or actinde compound - (i.e., alkali metal, Ag, Au, Cu, alkaline earth metal, Be, Mg, Zn, Cd, Hg, Sc, Y, Al, Ga, In, Tl, Ti, Zn, Hf, Ge, Sn or Pb hydride or organometallic compound and Ti, Zr, Hf, Ge, Sn, Pb, V, Nb, Ta, As, Sb, Bi, Cr, Mo, W, Po, Mn, Tc, Re, Iron group, Platinum group, atomic number 57 to 71 inclusive or atomic number 89 or higher compound) : Component A metal is Group IA, IIA or IIIA and component B metal is Group IVB to VIIB or VIII (i.e., alkali metal, alkaline earth Metal, Be, Mg, Al, Ga, In or Tl and Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Tc, Re, iron Group or Platinum group) (e.g., Ziegler Catalyst, etc.) : Preparing catalyst or precursor : Including plural additions of Component A :)

Patent 6153552: Methods for making catalysts
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Phosphorus or compound containing same : And Group VIII metal containing (i.e., iron or platinum group))

Patent 6153553: Process for the polymerization of alpha olefins using a new aluminophosphate support
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Phosphorus or compound containing same : And Group VIII metal containing (i.e., iron or platinum group))

 

Back to EveryPatent.com