Patents 6251736 - 6251991

 

 

Patent 6251736: Method for forming contactless MOS transistors and resulting devices, especially for use in non-volatile memory arrays
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Utilizing gate sidewall structure : Contacts formed by selective growth or deposition)

Patent 6251737: Method of increasing gate surface area for depositing silicide material
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Plural doping steps : Using same conductivity-type dopant : Multiple doping steps)

Patent 6251738: Process for forming a silicon-germanium base of heterojunction bipolar transistor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having heterojunction : Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.))

Patent 6251739: Integrated circuit, components thereof and manufacturing method
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Self-aligned : Dopant implantation or diffusion : Simultaneously outdiffusing plural dopants from polysilicon or amorphous semiconductor)

Patent 6251740: Method of forming and electrically connecting a vertical interdigitated metal-insulator-metal capacitor extending between interconnect layers in
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Radiation or energy treatment modifying properties of semiconductor regions of substrate (e.g., thermal, corpuscular, electromagnetic, etc.))

Patent 6251741: Method of manufacturing a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Stacked capacitor : Including doping of semiconductive region)

Patent 6251742: Method of manufacturing a cup-shape capacitor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Stacked capacitor : Including doping of semiconductive region)

Patent 6251743: Method of liquid treatment of microstructures comprising bendable structural members
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Stacked capacitor : Including selectively removing material to undercut and expose storage node layer : Multiple doping steps)

Patent 6251744: Implant method to improve characteristics of high voltage isolation and high voltage breakdown
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Stacked capacitor)

Patent 6251745: Two-dimensional scaling method for determining the overlay error and overlay process window for integrated circuits
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Having substrate registration feature (e.g., alignment mark) : Having contacts formed by selective growth or deposition)

Patent 6251746: Methods of forming trench isolation regions having stress-reducing nitride layers therein
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Enclosed cavity)

Patent 6251747: Use of an insulating spacer to prevent threshold voltage roll-off in narrow devices
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Enclosed cavity)

Patent 6251748: Method of manufacturing shallow trench isolation structure
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Combined with formation of recessed oxide by localized oxidation : Dopant addition)

Patent 6251749: Shallow trench isolation formation with sidewall spacer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Combined with formation of recessed oxide by localized oxidation : Recessed oxide laterally extending from groove : Plural doping steps)

Patent 6251750: Method for manufacturing shallow trench isolation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : And deposition of polysilicon or noninsulative material into groove : Oxidation of deposited material : Nonoxidized portions remaining in groove after oxidation : Through same mask opening)

Patent 6251751: Bulk and strained silicon on insulator using local selective oxidation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Recessed oxide by localized oxidation (i.e., LOCOS) : Reflow of insulator)

Patent 6251752: Isolated structure and method of fabricating such a structure on a substrate
Patent 6251753: Method of sidewall capping for degradation-free damascene trenches of low dielectric constant dielectric by selective liquid-phase deposition
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Recessed oxide by localized oxidation (i.e., LOCOS) : Preliminary etching of groove : Masking of groove sidewall : Nonoxidized portions remaining in groove after oxidation)

Patent 6251754: Semiconductor substrate manufacturing method
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF SEMICONDUCTIVE ACTIVE REGION ON ANY SUBSTRATE (E.G., FLUID GROWTH, DEPOSITION) : Fluid growth from gaseous state combined with preceding diverse operation : Doping of semiconductor : Ion implantation : Dopant addition)

Patent 6251755: High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Fluid growth from gaseous state combined with subsequent diverse operation)

Patent 6251756: Furnace for continuous, high throughput diffusion processes from various diffusion sources
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Fluid growth from gaseous state combined with subsequent diverse operation)

Patent 6251757: Formation of highly activated shallow abrupt junction by thermal budget engineering
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Ion implantation of dopant into semiconductor region : Including multiple implantation steps : Providing nondopant ion (e.g., proton, etc.) : Using same conductivity-type dopant)

Patent 6251758: Construction of a film on a semiconductor wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING SCHOTTKY JUNCTION (I.E., SEMICONDUCTOR-CONDUCTOR RECTIFYING JUNCTION CONTACT) : Using refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof) : Silicide)

Patent 6251759: Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Using refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6251760: Semiconductor device and its wiring and a fabrication method thereof
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Silicide)

Patent 6251761: Process for polycrystalline silicon gates and high-K dielectric compatibility
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Gate insulator structure constructed of plural layers or nonsilicon containing compound : Plural gate levels)

Patent 6251762: Method and device for improved salicide resistance on polysilicon gates
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Possessing plural conductive layers (e.g., polycide) : Plural gate levels)

Patent 6251763: Semiconductor device and method for manufacturing same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Having sidewall structure : Separated by insulator (i.e., floating gate))

Patent 6251764: Method to form an L-shaped silicon nitride sidewall spacer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Having sidewall structure : Separated by insulator (i.e., floating gate))

Patent 6251765: Manufacturing metal dip solder bumps for semiconductor devices
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Transparent conductor)

Patent 6251766: Method for improving attachment reliability of semiconductor chips and modules
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Plural conductive layers : Through same mask opening)

Patent 6251767: Ball grid assembly with solder columns
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Including fusion of conductor : By transcription from auxiliary substrate : Using same conductivity-type dopant)

Patent 6251768: Method of arranging the staggered shape bond pads layers for effectively reducing the size of a die
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Bump electrode : Including fusion of conductor : By wire bonding : Using same conductivity-type dopant)

Patent 6251769: Method of manufacturing contact pad
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Bump electrode)

Patent 6251770: Dual-damascene dielectric structures and methods for making the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Separating insulating layer is laminate or composite of plural insulating materials : By wire bonding)

Patent 6251771: Hydrogen passivation of chemical-mechanically polished copper-containing layers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : At least one metallization level formed of diverse conductive layers : Planarization : Using same conductivity-type dopant)

Patent 6251772: Dielectric adhesion enhancement in damascene process for semiconductors
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : At least one metallization level formed of diverse conductive layers : Planarization : Using same conductivity-type dopant)

Patent 6251773: Method of designing and structure for visual and electrical test of semiconductor devices
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Having planarization step : Diverse conductive layers limited to viahole/plug)

Patent 6251774: Method of manufacturing a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : With formation of opening (i.e., viahole) in insulative layer : Utilizing etch-stop layer)

Patent 6251775: Self-aligned copper silicide formation for improved adhesion/electromigration
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Diverse conductors : Having adhesion promoting layer : Having viahole of tapered shape)

Patent 6251776: Plasma treatment to reduce stress corrosion induced voiding of patterned metal layers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Plural layered electrode or conductor : At least one layer forms a diffusion barrier : Having noble group metal (i.e., silver (Ag), gold (Au), platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof))

Patent 6251777: Thermal annealing method for forming metal silicide layer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Silicide : Of organo-metallic precursor (i.e., MOCVD))

Patent 6251778: Method for using CMP process in a salicide process
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Silicide : Of organo-metallic precursor (i.e., MOCVD))

Patent 6251779: Method of forming a self-aligned silicide on a semiconductor wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Silicide : Of organo-metallic precursor (i.e., MOCVD))

Patent 6251780: Method for fabricating thin film at high temperature
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Silicide : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof) : Forming silicide)

Patent 6251781: Method to deposit a platinum seed layer for use in selective copper plating
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Copper of copper alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6251782: Specimen preparation by focused ion beam technique
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : To form ohmic contact to semiconductive material)

Patent 6251783: Method of manufacturing shallow trench isolation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6251784: Real-time control of chemical-mechanical polishing processing by monitoring ionization current
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6251785: Apparatus and method for polishing a semiconductor wafer in an overhanging position
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6251786: Method to create a copper dual damascene structure with less dishing and erosion
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6251787: Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6251788: Method of integrated circuit polishing without dishing effects
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Utilizing particulate abradant : Silicide)

Patent 6251789: Selective slurries for the formation of conductive structures
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Utilizing particulate abradant : Silicide)

Patent 6251790: Method for fabricating contacts in a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with coating step : Planarization by etching and coating : Simultaneous (e.g., chemical-mechanical polishing, etc.))

Patent 6251791: Eliminating etching microloading effect by in situ deposition and etching
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with coating step : Formation of groove or trench : Plural coating steps)

Patent 6251792: Plasma etch processes
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Photo-induced plasma etching)

Patent 6251793: Particle controlling method for a plasma processing chamber
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Photo-induced plasma etching)

Patent 6251794: Method and apparatus with heat treatment for stripping photoresist to eliminate post-strip photoresist extrusion defects
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Reactive ion beam etching (i.e., RIBE) : Silicide)

Patent 6251795: Method for depositing high density plasma chemical vapor deposition oxide with improved topography
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Silicon oxide or glass : Silicide)

Patent 6251796: Method for fabrication of ceramic tantalum nitride and improved structures based thereon
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Silicon oxide or glass : Silicide)

Patent 6251797: Method of fabricating semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Liquid phase etching : Differential etching of semiconductor substrate)

Patent 6251798: Formation of air gap structures for inter-metal dielectric application
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Liquid phase etching)

Patent 6251799: Method to provide low dielectric constant voids between adjacent conducting lines in a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Utilizing reflow (e.g., planarization, etc.) : Silicon nitride)

Patent 6251800: Ultrathin deposited gate dielectric formation using low-power, low-pressure PECVD for improved semiconductor device performance
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Multiple layers : Layers formed of diverse composition or by diverse coating processes : Silicide)

Patent 6251801: Method and apparatus for manufacturing semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : By reaction with substrate : Reaction with silicon semiconductive region (e.g., oxynitride formation, etc.) : Silicide)

Patent 6251802: Methods of forming carbon-containing layers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Reaction with silicon semiconductive region (e.g., oxynitride formation, etc.))

Patent 6251803: Method for forming a titanium dioxide layer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Reaction with silicon semiconductive region (e.g., oxynitride formation, etc.))

Patent 6251804: Method for enhancing adhesion of photo-resist to silicon nitride surfaces
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Reaction with silicon semiconductive region (e.g., oxynitride formation, etc.))

Patent 6251805: Method of fabricating semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : With substrate handling during coating (e.g., immersion, spinning, etc.) : Subsequent heating modifying organic coating composition)

Patent 6251806: Method to improve the roughness of metal deposition on low-k material
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : With substrate handling during coating (e.g., immersion, spinning, etc.) : Subsequent heating modifying organic coating composition)

Patent 6251807: Method for improving thickness uniformity of deposited ozone-teos silicate glass layers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Silicon oxide formation : Using electromagnetic or wave energy (e.g., photo-induced deposition, plasma, etc.) : Using electromagnetic or wave energy)

Patent 6251808: Metal and fiberglass cleaning and polishing article
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : COATED OR IMPREGNATED WOVEN, KNIT, OR NONWOVEN FABRIC WHICH IS NOT (A) ASSOCIATED WITH ANOTHER PREFORMED LAYER OR FIBER LAYER OR, (B) WITH RESPECT TO WOVEN AND KNIT, CHARACTERIZED, RESPECTIVELY, BY A PARTICULAR OR DIFFERENTIAL WEAVE OR KNIT, WHEREIN THE COATING OR IMPREGNATION IS NEITHER A FOAMED MATERIAL NOR A FREE METAL OR ALLOY LAYER : Coating or impregnation provides wear or abrasion resistance : Nitrogen containing)

Patent 6251809: Composite materials with highly aligned discontinuous fibers, and methods of preparation thereof
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : WOVEN FABRIC (I.E., WOVEN STRAND OR STRIP MATERIAL) : Woven fabric is characterized by a particular or differential weave other than fabric in which the strand denier or warp/weft pick count is specified : Warp differs from weft : Materials differ : Cross-sectional configuration is multi-lobal)

Patent 6251810: Composite solder glass, filling material for same and methods of using same
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Glass compositions, compositions containing glass other than those wherein glass is a bonding agent, or glass batch forming compositions : Enamels, glazes, or fusion seals (e.g., raw, fritted, or calcined ingredients) : Fusion seals (frit plus material other than glass) : Magnesium aluminosilicate (e.g., cordierite, etc.))

Patent 6251811: Funnel glass for a cathode ray tube
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Glass compositions, compositions containing glass other than those wherein glass is a bonding agent, or glass batch forming compositions : Silica containing : 40 percent - 90 percent by weight silica : And lead : Fluorine)

Patent 6251812: Glass composition and substrate for information recording media comprising the same
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Glass compositions, compositions containing glass other than those wherein glass is a bonding agent, or glass batch forming compositions : Silica containing : 40 percent - 90 percent by weight silica : And aluminum or iron compound : And divalent metal oxide (e.g., oxides of zinc, cadmium, beryllium, alkaline earth metal, magnesium, etc.) : And zinc or zirconium)

Patent 6251813: Optical glass and its use
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Glass compositions, compositions containing glass other than those wherein glass is a bonding agent, or glass batch forming compositions : Silica containing : Less than 40 percent by weight silica : And boron : And rare earth (i.e., elements with atomic number 39 or 57-71) : And zinc)

Patent 6251814: Light-weight pottery article
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Pore-forming : Silica containing)

Patent 6251815: Thermal gradient resistant ceramic composite
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Refractory : Fiber or fiber containing : Composites (continuous matrix with dispersed fiber phase) : Of cellulosic material)

Patent 6251816: Capacitor and dielectric ceramic powder based upon a barium borate and zinc silicate dual-component sintering flux
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Titanate, zirconate, stannate, niobate, or tantalate or oxide of titanium, zirconium, tin, niobium, or tantalum containing (e.g., dielectrics, etc.) : Alkaline earth or magnesium containing : Titanate containing : Barium titanate : And divalent metal oxide other than alkaline earth oxide or magnesium oxide : Less than 40 percent by weight clay)

Patent 6251817: Method of reducing tube plugging using preactivated unsupported catalysts
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Organic compound containing : Organic compound including carbon-metal bond : And a third component C (i.e., an additive other than a saturated hydrocarbon or an aromatic hydrocarbon free of aliphatic or cycloaliphatic unsaturation))

Patent 6251818: Accelerator for cyanoacrylates
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Organic compound containing : Organic phosphorus or nitrogen, except the ammonium ion : Organic nitrogen containing : Metal compound other than which could be produced in situ by reaction of a Group IA, IIA, or Group IIIA metal compound present with a titanium or vanadium compound present)

Patent 6251819: Silicon carbide foam with high specific surface area and improved mechanical properties
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Inorganic carbon containing : Carbide : Silicon carbide : Metal compound other than which could be produced in situ by reaction of a Group IA, IIA, or Group IIIA metal compound present with a titanium or vanadium compound present)

Patent 6251820: Catalyst for purifying exhaust gas and process for producing the same
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Silicon containing or process of making : With metal, metal oxide, or metal hydroxide : Of Group IV (i.e., Ti, Zr, Hf, Ge, Sn or Pb) : Of Group IV metal (i.e., Ti, Zr, Hf, Ge, Sn or Pb))

Patent 6251821: Solid mixed oxide composition containing molybdenum, cobalt and bismuth, which is suitable for the manufacture of acrolein from propylene by a
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Metal, metal oxide or metal hydroxide : Of Group VI (i.e., Cr, Mo, W or Po) : And Group II metal containing (i.e., alkaline earth, Be, Mg, Zn, Cd or Hg) : Platinum group (i.e., Ru, Rh, Pd, Os, Ir or Pt))

Patent 6251822: Method of making activated carbon derived from pitches
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : SOLID SORBENT : Free carbon containing : Process utilizing solid or liquid source carbonizable material, or product thereof : Adding nongaseous inorganic, or inorganic yielding component, prior to or during process : And Group III metal containing (i.e., Sc, Y, Al, Ga, In or Tl))

Patent 6251823: Production of spherical catalyst carrier
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : MISCELLANEOUS (E.G., CARRIER OR SUPPORT PER SE OR PROCESS OF MAKING, ETC.) : Free carbon containing)

Patent 6251824: Thermal transfer image receiving sheet
(RECORD RECEIVER HAVING PLURAL INTERACTIVE LEAVES OR A COLORLESS COLOR FORMER, METHOD OF USE, OR DEVELOPER THEREFOR : HAVING PLURAL INTERACTIVE LEAVES : Spatial relationship specified between color-former and developer)

Patent 6251825: Re-transfer intermediate sheet for thermal transfer printing
(RECORD RECEIVER HAVING PLURAL INTERACTIVE LEAVES OR A COLORLESS COLOR FORMER, METHOD OF USE, OR DEVELOPER THEREFOR : HAVING PLURAL INTERACTIVE LEAVES : Spatial relationship specified between color-former and developer)

Patent 6251826: Probiotic soil additive composition and method
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Micro-organisms or from micro-organisms (e.g., fermentates, fungi, bacteria, viruses, etc.) : Carboxamides (i.e., R-C(=O)NH2, wherein R is hydrogen or carbon, and substitution may be made for the hydrogens on the nitrogen only; e.g., dichloracetamides, etc.))

Patent 6251827: Acylsulfamoylbenzamides, crop protection compositions comprising them, and processes for their preparation
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Plural active ingredients : Hetero ring containing active ingredient : Hetero ring is six-membered including nitrogen : Containing -C(=X)X- or -C(=X)NH-, wherein the X`s are the same or diverse chalcogens and substitution may be made for hydrogen only (e.g., phenoxyacetic acids, ureas, etc.))

Patent 6251828: Substituted phenyluracils
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Hetero ring containing : Hetero ring is six-membered consisting of two nitrogens and four carbons (e.g., 1,4-diazines, etc.) : 1,3-diazines (including hydrogenated) : Chalcogen bonded directly to ring carbon of the diazine ring : Plural chalcogens bonded directly to ring carbons of the diazine ring (e.g., uracils, etc.) :)

Patent 6251829: Herbicidal benzoyloxy carboxylates and carboxamides
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Hetero ring containing : Hetero ring is six-membered consisting of two nitrogens and four carbons (e.g., 1,4-diazines, etc.) : 1,3-diazines (including hydrogenated) : Chalcogen bonded directly to ring carbon of the diazine ring : Plural chalcogens bonded directly to ring carbons of the diazine ring (e.g., uracils, etc.) :)

Patent 6251830: Dialkyl-halogenophenyl-substituted ketoenols
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Hetero ring containing : Hetero ring is six-membered consisting of one nitrogen and five carbons : Additional hetero ring containing : Having -C(=X)-, wherein X is chalcogen, bonded directly to ring nitrogen of the piperidine ring)

Patent 6251831: Herbicidal sulphonylamino(thio)carbonyl compounds
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Hetero ring containing : Hetero ring is five-membered having two or more ring hetero atoms of which at least one is nitrogen : 1,2,4-triazoles (including hydrogenated) : Chalcogen, nitrogen, or -C(=X)-, wherein X is chalcogen, bonded directly to ring carbon of the triazole ring : Nitrogen attached directly to the thiazole ring by nonionic bonding)

Patent 6251832: Fungicide comprising 4-methyl-6-pentyl-2H-pyran-2-one
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Hetero ring containing : Oxygen containing hetero ring : The hetero ring is six-membered : Plural ring hetero atoms in the hetero ring)

Patent 6251833: 2-arylcyclopentan-1,3-diones
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Ketones or aldehydes : The nitrogen and a nitro group bonded directly to the same benzene ring (e.g., nitroanilines, etc.))

Patent 6251834: Substrate materials
(SUPERCONDUCTOR TECHNOLOGY: APPARATUS, MATERIAL, PROCESS : HIGH TEMPERATURE (TC GREATER THAN 30 K) DEVICES, SYSTEMS, APPARATUS, COM- PONENTS, OR STOCK, OR PROCESSES OF USING : Substrate for supporting superconductor : Having nonsuperconducting core)

Patent 6251835: Surface planarization of high temperature superconductors
(SUPERCONDUCTOR TECHNOLOGY: APPARATUS, MATERIAL, PROCESS : PROCESSES OF PRODUCING OR TREATING HIGH TEMPERATURE (TC GREATER THAN 30 K) SUPERCONDUCTOR MATERIAL OR SUPERCONDUCTOR CONTAINING PRODUCTS OR PRECURSORS THEREOF : With material removal by etching, laser ablation, or mechanical abrasion : Utilizing plasma etching or sputter etching :)

Patent 6251836: Hydrate inhibition
(EARTH BORING, WELL TREATING, AND OIL FIELD CHEMISTRY : PREVENTING CONTAMINANT DEPOSITS IN PETROLEUM OIL CONDUITS :)

Patent 6251837: Hydrosoluble silane or siloxane derivative-based copolymer
(EARTH BORING, WELL TREATING, AND OIL FIELD CHEMISTRY : WELL TREATING : Contains organic component : Organic component contains silicon attached directly or indirectly to carbon by nonionic bonding : Resin is polymer derived from ethylenic monomers only (e.g., maleic, itaconic, etc.))

Patent 6251838: Suspended delayed borate cross-linker
(EARTH BORING, WELL TREATING, AND OIL FIELD CHEMISTRY : WELL TREATING : Contains inorganic component other than water or clay : Inorganic component contains boron : Organic component is a phenol)

Patent 6251839: Open gear lubricants
(SOLID ANTI-FRICTION DEVICES, MATERIALS THEREFOR, LUBRICANT OR SEPARANT COMPOSITIONS FOR MOVING SOLID SURFACES, AND MISCELLANEOUS MINERAL OIL COMPOSITIONS : LUBRICANTS OR SEPARANTS FOR MOVING SOLID SURFACES AND MISCELLANEOUS MINERAL OIL COMPOSITIONS (E.G., WATER CONTAINING, ETC.) : Graphite, coal, or elemental carbon : With organic -C(=O)O-compound :)

Patent 6251840: Lubrication fluids for reduced air entrainment and improved gear protection
(SOLID ANTI-FRICTION DEVICES, MATERIALS THEREFOR, LUBRICANT OR SEPARANT COMPOSITIONS FOR MOVING SOLID SURFACES, AND MISCELLANEOUS MINERAL OIL COMPOSITIONS : LUBRICANTS OR SEPARANTS FOR MOVING SOLID SURFACES AND MISCELLANEOUS MINERAL OIL COMPOSITIONS (E.G., WATER CONTAINING, ETC.) : Inorganic compound (except water) (Overbased or carbonated organic acidic compounds are not classified in this subclass or its indents on the basis of inorganic overbasing or carbonating agents; the overbased or carbonated compounds are treated as complexes, and are classified with the particular organic acidic compound) : The inorganic compound contains phosphorus or silicon (e.g., phosphorus sulfide, etc.) : Oxygen bonded directly to the phosphorus (e.g., orthophosphoric acid, phosphate salts, etc.) : With acyclic organic compound consisting of carbon, hydrogen, and oxygen (e.g., glycols, glycol ethers, alcohols, etc.))

Patent 6251841: Grease composition
(SOLID ANTI-FRICTION DEVICES, MATERIALS THEREFOR, LUBRICANT OR SEPARANT COMPOSITIONS FOR MOVING SOLID SURFACES, AND MISCELLANEOUS MINERAL OIL COMPOSITIONS : LUBRICANTS OR SEPARANTS FOR MOVING SOLID SURFACES AND MISCELLANEOUS MINERAL OIL COMPOSITIONS (E.G., WATER CONTAINING, ETC.) : Organic sulfur compound, wherein the sulfur is single bonded directly to oxygen (e.g., sulfites, etc.) : The sulfur is part of an -O-S(=O)(=O)- group (i.e., sulfonates) : With organic chalcogen compound that does not contain phosphorus)

Patent 6251842: Vapor phase low molecular weight lubricants
(SOLID ANTI-FRICTION DEVICES, MATERIALS THEREFOR, LUBRICANT OR SEPARANT COMPOSITIONS FOR MOVING SOLID SURFACES, AND MISCELLANEOUS MINERAL OIL COMPOSITIONS : LUBRICANTS OR SEPARANTS FOR MOVING SOLID SURFACES AND MISCELLANEOUS MINERAL OIL COMPOSITIONS (E.G., WATER CONTAINING, ETC.) : Organic oxygen compound : The organic oxygen compound of known structure is a carboxylic acid halide)

Patent 6251843: Synthetic detergent bar and manufacture thereof
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For human skin : Solid, shaped article (e.g., bar, leaf, tablet, etc.) : Plural surfactant components (e.g., organic sulfate and sulfonate, sulfonate and amine oxide, etc.) : With lime-soap dispersant)

Patent 6251844: Hydroxy aliphatic acidic microemulsion liquid cleaning compositions
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For grouted tile, bathtub, or procelain or ceramic surface (e.g., ceramic bathroom tile, etc.) : For manual dishwashing)

Patent 6251845: Detergent compositions comprising an oxygenase enzyme and cofactor to remove body soils
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For textile material (e.g., laundry detergent, etc.) : Enzyme component of specific activity or source (e.g., protease, of bacterial origin, etc.) : Peroxy component)

Patent 6251846: Laundry detergent compositions with cyclic amine based polymers to provide appearance and integrity benefits to fabrics laundered therewith
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For textile material (e.g., laundry detergent, etc.) : With nitrogen, oxygen, or sulfur containing textile softening or antistatic component : Nitrogen single bonded to plural carbons in the component, or salt thereof (e.g., secondary or tertiary amine, etc.) : Nonionic oxygen containing surfactant or polyacrylamide component)

Patent 6251847: Composition and method for cleaning/degreasing metal surfaces, especially composites of copper and aluminum
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For removing greasy or oily contaminant from a substrate : Polycarboxylic acid component, or acid anhydride or salt thereof (e.g., sodium citrate, maleic anhydride polymer, polyacrylic acid, etc.))

Patent 6251848: Bull's-eye tablet
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : Solid, shaped macroscopic article or structure (e.g., pellet, film, etc.) : Of compacted powdery or granular material (e.g., tablet, briquette, etc.) : Aqueous coating agent)

Patent 6251849: Cleaning agent for hard surfaces based on cationic polymer soil-release compounds
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : Specific organic component (e.g., triazines, etc.) : Carbohydrate : Carbon bonded directly to the phosphorus (e.g., phosphonic acid or salt or ester thereof, etc.))

Patent 6251850: Fabric softening compositions containing emulsified silicone
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : AUXILIARY COMPOSITIONS FOR CLEANING, OR PROCESSES OF PREPARING (E.G., LAUNDERING AIDS, SUCH AS WRINKLE-REDUCING COMPOSITIONS, ETC.) : Textile softening or antistatic composition (e.g., for use in the wash cycle, etc.) : Liquid composition : Liquid composition)

Patent 6251851: Process for the selective oxidation of organic compounds
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Organic compound containing : Compound with Silicon-hydrogen bond or organic compound with silicon-carbon bond : Alkali metal bonded to carbon)

Patent 6251852: Combination therapy for reducing the risks associated with cardiovascular disease
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI :)

Patent 6251853: PTX sensitive G proteins, the production and use thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI :)

Patent 6251854: N-methyl-d-aspartate receptor channel blockers and method for identifying such
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI :)

Patent 6251856: Insulin derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Insulin or derivative :)

Patent 6251857: Cytokine and hemopoietic factor endogenous production enhancer and methods of use thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Heavy metal containing (e.g., hemoglobin, etc.) : With an additional active ingredient)

Patent 6251858: Derivatives of oligosides, their process of preparation and their applications
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Glycoprotein (carbohydrate containing) : With an additional active ingredient)

Patent 6251859: Deepithelialized skin diffusion cell system
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Glycoprotein (carbohydrate containing) : With an additional active ingredient)

Patent 6251860: Pharmaceutical preparations
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Glycoprotein (carbohydrate containing) : With an additional active ingredient)

Patent 6251861: Treatment of cerebral infarction using cyclic hexapeptides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Cyclopeptides : Monocyclic :)

Patent 6251862: Insecticidal toxins from the parasitic wasp bracon hebeter
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6251863: Method of preventing and treating symptoms of aging and neurodegenerative dysfunctions with relaxin
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6251864: Peptides and compounds that bind to a receptor
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 16 to 24 peptide repeating units in known peptide chain : Monocyclic)

Patent 6251865: Insulin-like growth factor agonist molecules
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 9 to 11 peptide repeating units in known peptide chain : Monocyclic)

Patent 6251866: Conjugates targeted to the interleukin-2 receptor
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 5 or 6 peptide repeating units in known peptide chain : Monocyclic)

Patent 6251867: Antiangiogenic peptides and methods for inhibiting angiogenesis
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 3 or 4 peptide repeating units in known peptide chain : Monocyclic)

Patent 6251868: Method for treating a human immunodeficiency virus infection
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 2 peptide repeating units in known peptide chain : Monocyclic)

Patent 6251869: Enhancement of oxazolidinone antibacterial agents activity by using arginine derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 2 peptide repeating units in known peptide chain : Guanidine containing :)

Patent 6251870: Pharmaceutical composition for inhibiting growth of cancers
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Two or more nitrogen atoms bonded directly to the cyclohexyl ring)

Patent 6251871: P16 expression constructs and their application in cancer therapy
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Polynucleotide (e.g., RNA, DNA, etc.) : Two saccharide radicals bonded through only oxygen to 4- and 6- positions of the cyclohexyl ring)

Patent 6251872: Nucleic acid vaccines for ehrlichia chaffeensis and methods of use
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Polynucleotide (e.g., RNA, DNA, etc.) : Two saccharide radicals bonded through only oxygen to 4- and 6- positions of the cyclohexyl ring)

Patent 6251873: Antisense compounds to CD14
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Polynucleotide (e.g., RNA, DNA, etc.) : Two saccharide radicals bonded through only oxygen to 4- and 6- positions of the cyclohexyl ring)

Patent 6251874: Method of inhibiting human immunodeficiency virus using hydroxurea and a reverse transcriptase inhibitor in vivo
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Purines (including hydrogenated) (e.g., adenine, guanine, etc.) : Two saccharide radicals bonded through only oxygen to 4- and 6- positions of the cyclohexyl ring)

Patent 6251875: Aqueous laxative syrup comprising lactulose and lactitol and/or maltitol
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : Dissacharide : Nitrogen containing hetero ring)

Patent 6251876: Autocross-linked hyaluronic acid and related pharmaceutical compositions for the treatment of arthropathies
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : Polysaccharide : Nitrogen containing hetero ring)

Patent 6251877: Composition for external application containing a .beta.-1,6-branched-.beta.-1,3-glucan
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : Polysaccharide : Nitrogen containing hetero ring)

Patent 6251878: Inhibition of UV-induced immune suppression and interleukin-10 production by cytoprotective tamarind oligosaccharides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : Polysaccharide : Nitrogen containing hetero ring)

Patent 6251879: Delivery system for antimethanogenic agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : Polysaccharide : Dextrin or derivative : Phosphorus containing (e.g., Vitamin B12, etc.))

Patent 6251881: Method of treating diabetic angiopathy
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Phosphorus containing other than solely as part of an inorganic ion in an addition salt DOAI : C=O other than as ketone or aldehyde, attached directly or indirectly to phosphorus : Plural C=O groups, other than as ketone or aldehyde : N-(O=)S(=O) containing (i.e., sulfonamides))

Patent 6251882: Alkyl ketones as potent anti-cancer agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Acyclic nitrogen double bonded to acyclic nitrogen, acyclic nitrogen triple bonded to acyclic nitrogen or azide DOAI : Ester of (HX)P=X(XH)(XH) (X is chalcogen) (e.g., phosphate, etc.))

Patent 6251883: Methods for preparation and use of 1.alpha.,24(S)-dihydroxy vitamin D2
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : 9,10-seco- cyclopentanohydrophenanthrene ring system (e.g., vitamin D, etc.) DOAI : Nitrogen containing (e.g., anilides, etc.))

Patent 6251884: Sulfate conjugates of ursodeoxycholic acid, and their beneficial use in inflammatory disorders and other applications
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Cyclopentanohydrophenanthrene ring system DOAI : Oxygen single bonded to a ring carbon of the cyclopentanohydrophenanthrene ring system : Oxygen single bonded to a ring carbon of the cyclopentanohydrophenanthrene ring system)

Patent 6251885: Cytotrienins, process for preparing the same and anti-tumor agent
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Oxygen single bonded to a ring carbon of the cyclopentanohydrophenanthrene ring system)

Patent 6251886: Methods of using temozolomide in the treatment of cancers
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Oxygen single bonded to a ring carbon of the cyclopentanohydrophenanthrene ring system)

Patent 6251887: 17.beta.-aryl(arylmethyl)oxy(thio)alkyl-androstane derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Oxygen single bonded to a ring carbon of the cyclopentanohydrophenanthrene ring system)

Patent 6251888: Chromium picolinate compositions and uses thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Heavy metal containing (including salts) : Hetero ring is six-membered consisting of one nitrogen and five carbons : Bicyclo ring system)

Patent 6251889: Chromium picolinate compositions
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Heavy metal containing (including salts) : Hetero ring is six-membered consisting of one nitrogen and five carbons : Bicyclo ring system)

Patent 6251890: Carbapenem antibacterial compounds, compositions containing such compounds and methods of treatment
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is four-membered and includes at least one ring nitrogen : Chalcogen double bonded directly to a ring carbon of the four-membered hetero ring which is adjacent to the ring nitrogen : Polycyclo ring system having the four-membered hetero ring as one of the cyclos : Bicyclo ring system having the four-membered hetero ring as one of the cyclos : The other cyclo of the bicyclo ring system is five-membered : Ring oxygen in the bicyclo ring system)

Patent 6251891: Carbapenem derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is four-membered and includes at least one ring nitrogen : Chalcogen double bonded directly to a ring carbon of the four-membered hetero ring which is adjacent to the ring nitrogen : Polycyclo ring system having the four-membered hetero ring as one of the cyclos : Bicyclo ring system having the four-membered hetero ring as one of the cyclos : The other cyclo of the bicyclo ring system is five-membered : Sulfur bonded directly to the five-membered cyclo of the bicyclo ring system (e.g., thienamycin, etc.) : The other cyclo of the bicyclo ring system is six-membered)

Patent 6251892: N-oxides of heterocyclic esters, amides, thioesters, and ketones
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring contains seven members including nitrogen, carbon and chalcogen : Additional hetero ring attached directly or indirectly to the four-membered hetero ring by nonionic bonding)

Patent 6251893: Bicyclic piperidine and piperazine compounds having 5-HT6 receptor affinity
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of one nitrogen and six carbons : Polycyclo ring system having the seven-membered hetero ring as one of the cyclos : Ring nitrogen of the seven-membered hetero ring is shared by an additional cyclo of the polycyclo ring system : Bicyclo ring system having the seven-membered hetero ring as one of the cyclos)

Patent 6251894: 1-(1,2-disubstituted piperidinyl)-4 (fused imidazole)-piperidine derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of one nitrogen and six carbons : Polycyclo ring system having the seven-membered hetero ring as one of the cyclos : Ring nitrogen of the seven-membered hetero ring is shared by an additional cyclo of the polycyclo ring system : Plural ring nitrogens in the polycyclo ring system : Ring chalcogen and ring nitrogen are in the 1,5-positions of the seven-membered hetero ring)

Patent 6251895: Olanzapine dihydrate D
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of two nitrogens and five carbon atoms : Polycyclo ring system having the seven-membered hetero ring as one of the cyclos : Tricyclo ring system having the seven-membered hetero ring as one of the cyclos : Plural ring hetero atoms in the additional hetero ring)

Patent 6251896: Compositions and methods for the management of Crohn's disease
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and sulfur as ring members : Three or more ring hetero atoms in the six-membered hetero ring : Bicyclo ring system having the seven-membered hetero ring as one of the cyclos)

Patent 6251897: 6-phenyltetrahydro-1,3-oxazin-2-one derivative and pharmaceutical composition containing the same
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and oxygen as ring hetero atoms (e.g., monocyclic 1,2- and 1,3-oxazines, etc.) : 1,4-Thiazines)

Patent 6251898: Medical use of fluorenone derivatives for treating and preventing brain and spinal injury
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and oxygen as ring hetero atoms (e.g., monocyclic 1,2- and 1,3-oxazines, etc.) : 1,4-Thiazines)

Patent 6251899: Methoximinomethyloxadiazines
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and oxygen as ring hetero atoms (e.g., monocyclic 1,2- and 1,3-oxazines, etc.) : Three or more ring hetero atoms in the six-membered hetero ring : Additional hetero ring attached directly or indirectly to the 1,4-thiazine by nonionic bonding)

Patent 6251900: Heterocyclic compounds and antitumor agent containing the same as active ingredient
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and oxygen as ring hetero atoms (e.g., monocyclic 1,2- and 1,3-oxazines, etc.) : Morpholines (i.e., fully hydrogenated 1,4- oxazines) : Additional hetero ring attached directly or indirectly to the morpholine ring by nonionic bonding : Ring nitrogen shared by two of the cyclos)

Patent 6251901: Compositions and methods for treating bone deficit conditions
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of three nitrogens and three carbon atoms : Asymmetrical (e.g., 1,2,4-triazine, etc.) : Carbocyclic ring attached indirectly to the morpholine ring by nonionic bonding)

Patent 6251902: Dipeptide derivatives as growth hormone secretagogues
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : Polycyclo ring system having a 1,2- or 1,4-diazine as one of the cyclos : 1,4-diazine as one of the cyclos : The chalcogen is bonded directly to two carbon atoms)

Patent 6251903: Alkyl, azido, alkoxy, and fluoro-substituted and fused quinoxalinediones and the use thereof as glycine receptor antagonist
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : Polycyclo ring system having a 1,2- or 1,4-diazine as one of the cyclos : 1,4-diazine as one of the cyclos : The chalcogen is bonded directly to two carbon atoms)

Patent 6251904: Pyrazolopyrimidinone cGMP PDE5 inhibitors for the treatment of sexual dysfunction
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,2 diazine attached directly or indirectly to an additional hetero ring by nonionic bonding : The additional hetero ring is a diazine : At least three rings in the polycyclo ring system)

Patent 6251905: Tricyclic compounds, their production and use
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : The additional hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the additional six-membered nitrogen hetero ring as one of the cyclos : Tricyclo ring system having the additional six-membered nitrogen hetero ring as one of the cyclos : Quinoxalines (including hydrogenated))

Patent 6251906: Retroviral protease inhibiting compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : The additional hetero ring is five-membered having ring nitrogen : The additional five-membered hetero ring also has chalcogen as a ring member : The five-membered nitrogen hetero ring has chalcogen as a ring member)

Patent 6251907: 1-(aryloxyalkyl)-4-(heteroaryl) piperazines and related compounds useful as antipsychotics and analgesics
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : The additional hetero ring is five-membered having ring nitrogen : The additional five-membered hetero ring also has chalcogen as a ring member : The additional five-membered hetero ring consists of three ring carbons, and of nitrogen and chalcogen in adjacent ring positions (e.g., isoxazolyl, isothiazolyl, etc.) : Quinolines (including hydrogenated))

Patent 6251908: Piperazine derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : The additional hetero ring is five-membered having ring nitrogen : Polycyclo ring system having the additional five-membered nitrogen hetero ring as one of the cyclos : Indole ring system (including hydrogenated) attached directly or indirectly to the piperazine ring by nonionic bonding : Quinolines (including hydrogenated))

Patent 6251909: Arylglycinamide derivatives, methods of producing these substances and pharmaceutical compositions containing such compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Carbocyclic ring bonded directly to the piperazine ring : Ring oxygen in the additional hetero ring)

Patent 6251910: 1,2,3-triazolo[4,5-d]pyrimidines as P2T receptor antagonists
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Polycyclo ring system having 1,3-diazine as one of the cyclos : Bicyclo ring system having the 1,3-diazine as one of the cyclos : Exactly five ring nitrogens in the bicyclo ring system (e.g., triazolo[4,5-d]pyrimidine, etc.) : Chalcogen bonded directly to a ring carbon of the 1,3-diazine ring)

Patent 6251911: Pyrimidine derivatives and processes for the preparation thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Polycyclo ring system having 1,3-diazine as one of the cyclos : Bicyclo ring system having the 1,3-diazine as one of the cyclos : Exactly four ring nitrogens in the bicyclo ring system : Chalcogen bonded directly to a ring carbon of the 1,3-diazine ring)

Patent 6251912: Substituted quinazoline derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and sulfur as ring members : 1,4-Thiazines : Additional hetero ring attached directly or indirectly to the 1,4-thiazine by nonionic bonding : Polycyclo ring system having the additional hetero ring as one of the cyclos : Chalcogen or nitrogen attached indirectly to the phenothiazine ring nitrogen by acyclic nonionic bonding)

Patent 6251913: Hydroxamic acids substituted by heterocycles useful for inhibition of tumor necrosis factor
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Polycyclo ring system having 1,3-diazine as one of the cyclos : Bicyclo ring system having the 1,3-diazine as one of the cyclos : Quinazoline (including hydrogenated)(i.e., the second cyclo in the bicyclo ring system is an ortho-fused six-membered carbocycle) : Chalcogen bonded directly to a ring carbon of the 1,3-diazine ring of the quinazoline ring system : The additional hetero ring consists of carbon and chalcogen as the only ring members)

Patent 6251914: Cycloalkyl substituted imidazoles
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Pyrimidines with chalcogen bonded directly to a ring carbon of said pyrimidine moiety : Chalcogen bonded directly to pyrimidine at 2-position : The nitrogen is part of a hetero ring)

Patent 6251915: Method for prevention of prostate cancer
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Tetracyclo ring system having the six-membered hetero ring as one of the cyclos : Ring nitrogen in the pentacyclo ring system is shared by five-membered cyclo and six-membered cyclo (e.g., vincamine, etc.))

Patent 6251916: Muscarinic agonists and antagonists
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Tetracyclo ring system having the six-membered hetero ring as one of the cyclos : Two of the cyclos share at least three ring members (i.e., bridged) (e.g., morphinans, etc.) : Ring carbon is shared by three of the cyclos)

Patent 6251917: Benzamidoaldehydes and their use as cysteine protease inhibitors
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Quinolines (including hydrogenated) : Nitrogen, other than as nitro or nitroso, attached directly to the isoquinoline ring system by nonionic bonding)

Patent 6251918: Aniline derivatives as calcium channel blockers
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Piperidines : Additional ring containing : Quinolines (including hydrogenated))

Patent 6251919: Heterocyclic substituted aniline calcium channel blockers
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Piperidines : Additional ring containing : The additional ring is a six-membered hetero ring consisting of one nitrogen and five carbon atoms : Additional hetero ring attached directly or indirectly to the quinoline ring system by nonionic bonding)

Patent 6251920: Prevention and treatment of cardiovascular pathologies
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Piperidines : Additional ring containing : The additional ring is one of the cyclos in a polycyclo ring system : Additional hetero ring attached directly or indirectly to the quinoline ring system by nonionic bonding)

Patent 6251921: Antithrombotic diamines
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : The additional hetero ring is one of the cyclos in a polycyclo ring system : Nitrogen attached indirectly to the piperidine ring by nonionic bonding)

Patent 6251922: Polycyclic 2- aminothiazole systems, processes for their preparation and pharmaceuticals comprising these compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : The additional hetero ring is one of the cyclos in a polycyclo ring system : Plural hetero atoms in the polycyclo ring system : Plural chalcogens bonded directly to ring carbons of the piperidine ring)

Patent 6251923: Hydroxyindoles, their use as inhibitors of phosphodiesterase 4 and process for their preparation
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : The additional hetero ring is one of the cyclos in a polycyclo ring system : Ring nitrogen in the polycyclo ring system : Plural chalcogens bonded directly to ring carbons of the piperidine ring)

Patent 6251924: Pharmaceutical composition
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : Ring nitrogen in the additional hetero ring (e.g., oxazole, etc.) : Ring sulfur in the additional hetero ring : Plural chalcogens bonded directly to ring carbons of the piperidine ring)

Patent 6251925: Therapeutic biaryl derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : C=O bonded directly to the six-membered hetero ring : Plural acyclic nitrogens bonded directly to the same carbon or bonded directly to each other)

Patent 6251926: Oxyiminoalkanoic acid derivatives with hypoglycemic and hypolipidemic activity
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : Plural ring nitrogens and a single chalcogen in the hetero ring : Oxadiazoles (including hydrogenated) : C=O in a C(=O)O group (e.g., nicotinic acid, etc.))

Patent 6251927: Methods for treatment of sickle cell anemia
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,3-thiazoles (including hydrogenated) : Oxadiazoles (including hydrogenated))

Patent 6251928: Treatment of alzheimer's disease employing inhibitors of cathepsin D
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,3-thiazoles (including hydrogenated) : Chalcogen bonded directly to ring carbon of the thiazole ring : Bicyclo ring system having the thiazole ring as one of the cyclos)

Patent 6251929: Therapeutic agent for intractable vasculitis
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,3-diazoles : Divalent chalcogen or acyclic nitrogen double bonded directly to ring carbon of the diazole ring, or tautomeric equivalent : Divalent chalcogen or acyclic nitrogen double bonded directly at both 2- and 4- positions, or tautomeric equivalent (e.g., hydantoin, etc.) : Chalcogen or nitrogen bonded directly at 1-, 3-, or 5-position by nonionic bonding : Ring sulfur in the polycyclo ring system)

Patent 6251930: Activating C1- secretion
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,3-diazoles : Imidazoles : Chalcogen or nitrogen bonded directly to the imidazole ring by nonionic bonding : Chalcogen or nitrogen bonded directly at 1-, 2- or 3-position of the diazole ring by nonionic bonding)

Patent 6251931: Inhibitors of gap junction communication
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Pyrazoles)

Patent 6251932: Immunophilin ligands
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Polycyclo ring system having the five-membered hetero ring as one of the cyclos : Bicyclo ring system having the five-membered hetero ring as one of the cyclos : Additional hetero ring which is not part of the bicyclo ring system : Ring sulfur in the polycyclo ring system)

Patent 6251933: Seco precursors of cyclopropylindolines and their use as prodrugs
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Polycyclo ring system having the five-membered hetero ring as one of the cyclos : Bicyclo ring system having the five-membered hetero ring as one of the cyclos : Additional hetero ring which is not part of the bicyclo ring system : Ring sulfur in the polycyclo ring system)

Patent 6251935: Treatment of migraine by administration of .alpha.-lipoic acid or derivatives thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Sulfur containing hetero ring : The hetero ring is five-membered : Plural hetero atoms in the hetero ring : Only two ring sulfurs in the hetero ring : Chalcogen bonded directly to ring carbon of the five-membered hetero ring (e.g., adrenochrome, etc.))

Patent 6251936: Benzothiophenes, benzofurans, and indoles useful in the treatment of insulin resistance and hyperglycemia
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Sulfur containing hetero ring : The hetero ring is five-membered : Polycyclo ring system having the hetero ring as one of the cyclos : Only two ring sulfurs in the hetero ring)

Patent 6251937: 5,6-0-alkylidene glucono-1(4)-lactones and derivatives, processes for their preparation and uses thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Oxygen containing hetero ring : The hetero ring is five-membered : Plural ring oxygens in the hetero ring : Only two ring oxygens in the hetero ring which is not a polycyclo ring system (e.g., dioxolane, etc.) : Nitrogen containing)

Patent 6251938: Phenylethylamine derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : N-C(=X)X containing (X is chalcogen) DOAI : N-C(=X)-X-C containing : Ring in acid moiety : Naphthyl ring system)

Patent 6251939: Carbamate-based cationic lipids
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Cadmium or chromium)

Patent 6251940: Inflammatory cell inhibitors
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : R-C(=X)-N-X-C containing (e.g., hydroxamic acid ester, etc.) (R is C or H and X is chalcogen) : With an additional active ingredient)

Patent 6251941: Use of inhaled retinoids in the prevention of cancer
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Higher fatty acid or salt thereof : Ring containing : Ring is alcohol moiety)

Patent 6251942: Compositions and methods for alleviating impaired mental function, memory loss and reducing recovery time in anaesthetized mammals
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Nitrogen other than as nitro or nitroso nonionically bonded : Carbon to carbon unsaturation)

Patent 6251943: Method of treating or preventing septic shock by administering a MEK inhibitor
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Nitrogen other than as nitro or nitroso nonionically bonded : Plural nitrogens nonionically bonded : Ring is alcohol moiety)

Patent 6251944: Para-substituted phenylene derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Nitrogen other than as nitro or nitroso nonionically bonded : Plural nitrogens nonionically bonded : N-N or N=C(-N)-N containing (e.g., hydrazines, hydrazones, or quanidines, etc.) : Z radical contains two or more nitrogen atoms at least one of which forms a C(=X)N group (X is chalcogen))

Patent 6251945: Pharmaceutical mixture comprising a combination of a profen and other active compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Benzene ring nonionically bonded : Carboxy or salt thereof only attached indirectly to the benzene ring : Polycarboxylic acid)

Patent 6251946: Branched chain fatty acids, their derivatives and use in the treatment of central nervous system disorders
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Carboxamides (i.e., R-C(=O)-N, wherein R is a radical having carbon bonded directly to the C(=O)-N or is hydrogen and wherein any substituent attached to nitrogen will be referred to as E) : Q is acyclic and benzene ring in a substituent E)

Patent 6251947: Fungicidal carboxamides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Carboxamides (i.e., R-C(=O)-N, wherein R is a radical having carbon bonded directly to the C(=O)-N or is hydrogen and wherein any substituent attached to nitrogen will be referred to as E) : Three-membered ring in R : C-O- group in R)

Patent 6251948: Tri-and tetra-substituted guanidines and their use as excitatory amino acid antagonists
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Amidines (i.e., N=C-N) : Guanidines (i.e., N=C(-N)-N) : R is hydrogen or a lower saturated alkyl of less than seven carbons)

Patent 6251949: Oxime derivatives, hydrazone derivatives and use thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Nitrogen double bonded directly to carbon : Oximes (i.e., C=N-O-) : Biguanides (i.e., N=C(-N)-N(N-)C=N))

Patent 6251950: Aliphatic propargylamines as cellular rescue agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Acyclic : Carbon to carbon unsaturation : Monoether)

Patent 6251951: Use of flavonoid and aromatic aldehydes as pesticides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Aldehyde DOAI : Benzene ring containing : Acyclic carbon to carbon unsaturation : Camphor or nuclear substituted derivatives thereof)

Patent 6251952: Method of using lachrymatory agents for moisturizing the eyes
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Sulfur, selenium or tellurium compound (e.g., thioalcohols, mercaptans, etc.) : Plural C=O groups)

Patent 6251953: Beta-carotene therapy of individuals having abnormal immunological and serological indices, and individuals having infections and diseases
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Hydrocarbon DOAI : Carbocyclic : Benzene ring containing : Bicyclo)

Patent 6251954: Aerated composition, process for its manufacture and its use
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC NONACTIVE INGREDIENT CONTAINING OTHER THAN HYDROCARBON : Solid synthetic organic polymer : Polymer from ethylenic monomers only : Polycyclo ring system)

Patent 6251955: Methods for identifying inhibitors of fungal pathogenicity
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : MISCELLANEOUS (E.G., HYDROCARBONS, ETC.) : Nitrogen containing)

Patent 6251956: Combination progestin oral contraceptive regimen
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Cyclopentanohydrophenanthrene ring system DOAI : With additional active ingredient : The hetero ring is five-membered)

Patent 6251957: Method of reducing an immune response to a recombinant virus
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : LYMPHOKINE : Interleukin : Oxygen heterocycle)

Patent 6251958: Defoamer process
(COLLOID SYSTEMS AND WETTING AGENTS; SUBCOMBINATIONS THEREOF; PROCESSES OF : CONTINUOUS LIQUID OR SUPERCRITICAL PHASE: COLLOID SYSTEMS; COMPOSITIONS AN AGENT FOR MAKING OR STABILIZING COLLOID SYSTEMS; PROCESSES OF MAKING OR STABILIZING COLLOID SYSTEMS; PROCESSES OF PREPARING THE COMPOSITIONS (E.G., MICELLE; THICKENING AGENT; PROTECTIVE COLLOID AGENT; COMPOSITION CONTAINING AN EMULSIFYING AGENT WITH NO DISPERSANT DISCLOSED; ORGANIC LIQUID EMULSIFIED IN ANHYDROUS HF) : Primarily organic continuous liquid phase (e.g., organic liquid emulsified in an organic liquid) : Discontinuous phase material primarily solid or semisolid (e.g., colloid- sized dispersion of gel particles, or paraffin wax, or polytetrafluoroethylene) : The agent contains organic compound containing oxygen)

Patent 6251959: Chitin derivatives having carboxylated lower alkyl groups as hydrophilic substituents and hydrophobic substituents, and micellar aqueous
(COLLOID SYSTEMS AND WETTING AGENTS; SUBCOMBINATIONS THEREOF; PROCESSES OF : CONTINUOUS LIQUID OR SUPERCRITICAL PHASE: COLLOID SYSTEMS; COMPOSITIONS AN AGENT FOR MAKING OR STABILIZING COLLOID SYSTEMS; PROCESSES OF MAKING OR STABILIZING COLLOID SYSTEMS; PROCESSES OF PREPARING THE COMPOSITIONS (E.G., MICELLE; THICKENING AGENT; PROTECTIVE COLLOID AGENT; COMPOSITION CONTAINING AN EMULSIFYING AGENT WITH NO DISPERSANT DISCLOSED; ORGANIC LIQUID EMULSIFIED IN ANHYDROUS HF) : Aqueous continuous liquid phase and discontinuous phase primarily organic liquid (e.g., organosilicon* oil- or mineral-oil*-in-water, o/w emulsion) : The agent contains organic compound containing nitrogen, except if present solely as NH4+ : The compound contains substituted or unsubstituted benzene ring (e.g., di-butyl-naphthalene sulfonic acid))

Patent 6251960: Process for production of water absorbent resin
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : CELLULAR PRODUCTS OR PROCESSES OF PREPARING A CELLULAR PRODUCT, E.G., FOAMS, PORES, CHANNELS, ETC. : Process of forming a cellular product from an aqueous latex, aqueous dispersion, or aqueous emulsion containing a solid polymer; process of preparing a latex, dispersion, or emulsion containing a solid polymer which is designed to be formed into a cellular product, or composition thereof : Utilizing cell forming agent other than air : Treating polymer derived from vinyl chloride monomer)

Patent 6251961: Flame-retartant coating
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : CELLULAR PRODUCTS OR PROCESSES OF PREPARING A CELLULAR PRODUCT, E.G., FOAMS, PORES, CHANNELS, ETC. : Cellular product derived from a reactant containing two or more three membered heterocyclic rings wherein two of the ring members are carbon atoms and the remaining ring member is a chalcogen atom : With -C(=X)-X containing reactant wherein X is a chalcogen atom : -XH reactant contains a C-X-C group)

Patent 6251962: (Co)polymers by photopolymerization
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Compositions to be polymerized or modified by wave energy wherein said composition contains at least one specified rate-affecting material; or processes of preparing or treating a solid polymer utilizing wave energy in the presence of at least one specified rate-affecting material; e.g., nitrogen containing photosensitizer, oxygen containing photoinitiator, etc. wave energy in order to prepare a cellular product : Contains two or more rate-affecting materials, at least one of which is specified : Contains compound containing keto group not part of a ring and a specified rate-affecting material; or contains a specified rate-affecting material and a nonspecified photoinitiator or photosensitizer : Specified rate-affecting material contains phosphorous, arsenic, antimony or nitrogen atom :)

Patent 6251963: Photoinitiator combinations
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Compositions to be polymerized or modified by wave energy wherein said composition contains at least one specified rate-affecting material; or processes of preparing or treating a solid polymer utilizing wave energy in the presence of at least one specified rate-affecting material; e.g., nitrogen containing photosensitizer, oxygen containing photoinitiator, etc. wave energy in order to prepare a cellular product : Specified rate-affecting material contains phosphorous : Hydroperoxide)

Patent 6251964: Biocompatible compositions
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Nonmedicated composition specifically intended for contact with living animal tissue or process of preparing; other than apparel : Food release coating)

Patent 6251965: Process for the modification of elastomers with surface interpenetrating polymer networks and elastomers formed therefrom
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Nonmedicated composition specifically intended for contact with living animal tissue or process of preparing; other than apparel : Contact lens making composition : Silicon-containing organic polymer :)

Patent 6251966: Dental adhesive and use thereof in preparing dental impression
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Nonmedicated composition specifically intended for contact with living animal tissue or process of preparing; other than apparel : Dental or body impression taking material : Polymer of a heterocyclic N-vinyl polymerizable compound)

Patent 6251967: Antimicrobial polymers comprising quaternary ammonium groups, their use for making a material with antimicrobial properties and methods for preparing
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Composition having ingredient providing biocidal or biostatic protection thereto or process of preparing : Aperture affecting composition, e.g., earplug, dilator, etc.)

Patent 6251968: Method for modifying products or half-stuffs from molding materials consisting of mixtures of thermoplastic synthetic materials
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Composition containing an additive which enhances degradation by environmental stimuli or process of preparing : Aperture affecting composition, e.g., earplug, dilator, etc.)

Patent 6251969: Thermocurable, one-component, addition-crosslinking silicone compositions
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Process of forming a composition of a solid polymer or solid polymer forming system by admixing a product in the form of a surface coated, impregnated, encapsulated, or surface modified fiber, sheet, particle, or web, with a material; or composition which is the result of said admixing : Solid polymer particle enclosed in layer of diverse solid polymer, e.g., core-shell, etc. : Containing asbestos and at least one inorganic material)

Patent 6251970: Heat absorbing surface coating
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Process of forming a composition of a solid polymer or solid polymer forming system by admixing a product in the form of a surface coated, impregnated, encapsulated, or surface modified fiber, sheet, particle, or web, with a material; or composition which is the result of said admixing : Product having an inorganic material surface coated onto an organic substrate : Silicon ethylenic reactant)

Patent 6251971: Thermal insulation coating for pipes
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Process of forming a composition having a nonreactant material selected for its special void characteristic; or composition containing same, e.g., syntactic foam, etc. : Product having a silicon atom)

Patent 6251972: Process for the stabilization of and stabilizer mixtures for single-material recycled plastics
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Phosphorus organic compound DNRM : Two or more phosphorus atoms directly or indirectly bonded together by only covalent bonds : Phosphorus bonded directly to three chalcogen atoms only, e.g., phosphite, etc. : Aryl group)

Patent 6251973: Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Organo nitrogen compound other than unsubstituted ammonium salt as sole nitrogen atom DNRM : Silicon atom : Atom is N)

Patent 6251974: Polyamide release film for use in the production of molded composite products
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Organo nitrogen compound other than unsubstituted ammonium salt as sole nitrogen atom DNRM : N-C=X group wherein X is a chalcogen : N-(C=O)alkyl wherein alkyl group contains eight or more carbon atoms : At least one solid polymer derived from ethylenic reactants only)

Patent 6251975: Dispersibility improver for fluororesin powders, modifier for organic resins, and organic resin compositions
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Organic silicon compound having at least one oxygen atom DNRM : Atom other than Si, O, C, or H : Halogen : Aryl)

Patent 6251976: Rubber mixtures containing trimethylopropane distillation residues
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Carbon atom single bonded to an oxygen atom and wherein the carbon atom is not double bonded to a chalcogen atom DNRM, e.g., alcohols, etc. : At least two -OH groups : Aryl group)

Patent 6251977: Elastomer composition based on EPDM, and a powder transmission belt made essentially out of said elastomer
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Oxygen atom or metal atom DNRM, e.g., metal stearate, etc. : Ethylenic group; or at least two (C=O)O groups bonded to each other directly or only by carbon atoms : At least one solid polymer derived from ethylenic reactants only)

Patent 6251978: Conductive composite material
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : Boron atom DNRM : Group IIA metal (Be, Mg, Ca, Sr, Ba))

Patent 6251979: Strengthened, light weight wallboard and method and apparatus for making the same
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : Sulfur atom DNRM : Sulfate group, e.g., calcium sulfate, etc. : Sulfuric acid)

Patent 6251980: Nanocomposites formed by onium ion-intercalated clay and rigid anhydride-cured epoxy resins
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Soil or inorganic silicon DNRM (other than silicon dioxide, glass, quartz, novaculite, or silicon dioxide type) : Aluminum atom DNRM : Clay, e.g., fullers earth, fire clay, etc. : Solid polymer derived from unsaturated hydrocarbon monomer)

Patent 6251981: Polyalkoxysiloxane compounds, process for producing the same, and coating composition containing the same
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Polymerizing an ethylenic monomer in the presence of a preformed SICP or solid polymer and in the presence of a nonreactive material so as to form an aqueous dispersion, latex, suspension, or emulsion therewith; or product thereof : Soil or inorganic silicon DNRM (other than silicon dioxide, glass, quartz, novaculite, or silicon dioxide type))

Patent 6251982: Compound rubber compositions
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Mixing two or more hydrocarbons; or a hydrocarbon other than benzene, toluene, or xylene per se and having numerical limitations other than amount, e.g., included herein are m.p., b.p., viscosity, structure, m.w., etc. or composition or product thereof, DNRM : Organic compound containing two or more chlorine atoms)

Patent 6251983: Inversion carbon blacks and method for their manufacture
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Mixing with carbon, e.g., graphite, etc., having numerical limitations, other than amount, e.g., included herein are particle size, surface area, etc., or composition or product thereof, DNRM : Carbon particle having specified dimension : Material contains glass)

Patent 6251984: Aqueous dispersion type antisoiling composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Containing two or more solid polymers; solid polymer or SICP and a SICP, SPFI, or an ethylenic reactant or product thereof : At least one solid polymer derived from ethylenic reactants only : With solid polymer derived from at least one -N=C=X (X is chalcogen) reactant wherein at least one of the reactants forming the solid polymer is saturated; or with SPFI or SICP derived from a -N=C=X reactant wherein at least one of the necessary reactants is saturated : At least one microcrystalline wax)

Patent 6251985: Aqueous coating composition for stoving finishes and process for the production thereof
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Containing two or more solid polymers; solid polymer or SICP and a SICP, SPFI, or an ethylenic reactant or product thereof : Solid polymer or SICP derived from at least one carboxylic acid or derivative reactant : Solid polymer derived from ethylenic monomers only admixed with ethylenic monomer)

Patent 6251986: Paint resin emulsion having weatherability
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Polymer derived from ethylenic reactants only : From reactant-containing atom other than O, N, C, halogen, or hydrogen : Fluorine-containing monomer contains F and C only or only F, C, and H)

Patent 6251987: Inks with colored resin emulsion particles
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Polymer derived from ethylenic reactants only : From nitrogen-containing monomer other than acrylonitrile or methacrylonitrile : Cycloaliphatic, fused, or bridged monomer contains at least two unsaturated groups)

Patent 6251988: Polyurethane solutions terminated with amino-functional heterocyclic stoppers
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : From -N=C=X reactant or blocked N=C=X reactant (X is chalcogen) : With reactant containing at least one C-OH, (C=O)-OH or -C-O-C- group : From ethylene as sole reactant monomer)

Patent 6251989: Oligomerized organopolysiloxane cocondensate, its production and its use for treatment of surfaces
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Preparation of intentional or desired composition by formation of solid polymer or SICP in the presence of water as a designated nonreactant material (DNRM), or products thereof : Solid polymer or SICP derived from Si-containing reactant : Hydrocarbon monomer only)

Patent 6251990: Silicone rubber compositions having high-voltage electrical insulation, sealing and repairing compounds for polymeric insulators
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Si-containing reactant forming a solid polymer or SICP in the presence of a nonreactant material : Poly (di organo siloxane) reactant, i.e., (R-Si(R)-O)-* where R is an organic radical and * is subscript two or more : Si-H containing reactant : With ethylenically unsaturated reactant : Aromatic monomer)

Patent 6251991: Golf ball cover stocks and golf balls
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : Mixing of solid graft or graft-type copolymer with other solid polymer wherein one of said solid polymers is not derived from ethylenic reactants only; mixing of said polymer mixture with a chemical treating agent; or mixing of graft or graft-type copolymer with a SICP or SPFI; or processes of forming or reacting; or the resultant product of any of the above operations : Solid graft or graft-type copolymer derived from ethylenic reactants only : With solid polymer derived from at least one nitrogen-containing reactant wherein at least one of the reactants forming the solid polymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a nitrogen atom or with a reaction product thereof; or with nitrogen-containing SICP :)

 

Back to EveryPatent.com