Patents 6114199 - 6114451

 

 

Patent 6114199: Manufacturing method for ferroelectric film and nonvolatile memory using the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Having high dielectric constant insulator (e.g., Ta2O5, etc.) : Plural doping steps)

Patent 6114200: Method of fabricating a dynamic random access memory device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including doping of semiconductive region)

Patent 6114201: Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including selectively removing material to undercut and expose storage node layer : Multiple doping steps)

Patent 6114202: Method of fabricating dynamic random access memory
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Including passive device (e.g., resistor, capacitor, etc.) : Capacitor : Stacked capacitor : Including texturizing storage node layer : Multiple doping steps)

Patent 6114203: Method of manufacturing a MOS integrated circuit having components with different dielectrics
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Including additional field effect transistor (e.g., sense or access transistor, etc.) : Stacked capacitor)

Patent 6114204: Method of fabricating high density flash memory with self-aligned tunneling window
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Having additional gate electrode surrounded by dielectric (i.e., floating gate) : Tunneling insulator : Tunneling insulator)

Patent 6114205: Epitaxial channel vertical MOS transistor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Vertical channel : Gate electrode in trench or recess in semiconductor substrate : Including forming gate electrode as conductive sidewall spacer to another electrode)

Patent 6114206: Multiple threshold voltage transistor implemented by a damascene process
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Vertical channel : Gate electrode in trench or recess in semiconductor substrate : Including forming gate electrode as conductive sidewall spacer to another electrode)

Patent 6114207: Method of producing a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Vertical channel : Gate electrode in trench or recess in semiconductor substrate : Including forming gate electrode as conductive sidewall spacer to another electrode)

Patent 6114208: Method for fabricating complementary MOS transistor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Having elevated source or drain (e.g., epitaxially formed source or drain, etc.) : Doping region beneath recessed oxide (e.g., to form chanstop, etc.))

Patent 6114209: Method of fabricating semiconductor devices with raised doped region structures
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Having elevated source or drain (e.g., epitaxially formed source or drain, etc.) : Doping region beneath recessed oxide (e.g., to form chanstop, etc.))

Patent 6114210: Method of forming semiconductor device comprising a drain region with a graded N-LDD junction with increased HCI lifetime
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Oblique implantation : Contacts formed by selective growth or deposition)

Patent 6114211: Semiconductor device with vertical halo region and methods of manufacture
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING FIELD EFFECT DEVICE HAVING PAIR OF ACTIVE REGIONS SEPARATED BY GATE STRUCTURE BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.) : Self-aligned : Source or drain doping : Utilizing gate sidewall structure : Plural doping steps : Multiple doping steps)

Patent 6114212: Methods of fabricating bipolar junction transistors having an increased safe operating area
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Having insulated gate (e.g., IGFET, MISFET, MOSFET, etc.))

Patent 6114213: Fabrication method for a capacitor having high capacitance
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMING BIPOLAR TRANSISTOR BY FORMATION OR ALTERATION OF SEMICONDUCTIVE ACTIVE REGIONS : Including passive device (e.g., resistor, capacitor, etc.) : Having lateral bipolar transistor)

Patent 6114214: Method for forming a high-density dram cell with a double-crown rugged polysilicon capacitor
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : MAKING PASSIVE DEVICE (E.G., RESISTOR, CAPACITOR, ETC.) : Stacked capacitor : Including texturizing storage node layer : Multiple doping steps)

Patent 6114215: Generating non-planar topology on the surface of planar and near-planar substrates
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Having substrate registration feature (e.g., alignment mark) : Having contacts formed by selective growth or deposition)

Patent 6114216: Methods for shallow trench isolation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Enclosed cavity)

Patent 6114217: Method for forming isolation trenches on a semiconductor substrate
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Enclosed cavity)

Patent 6114218: Texturized polycrystalline silicon to aid field oxide formation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Refilling multiple grooves of different widths or depths : Recessed oxide laterally extending from groove)

Patent 6114219: Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Refilling multiple grooves of different widths or depths : Reflow of insulator : Plural doping steps)

Patent 6114220: Method of fabricating a shallow trench isolation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : FORMATION OF ELECTRICALLY ISOLATED LATERAL SEMICONDUCTIVE STRUCTURE : Grooved and refilled with deposited dielectric material : Multiple insulative layers in groove : From doped insulator in groove)

Patent 6114221: Method and apparatus for interconnecting multiple circuit chips
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : BONDING OF PLURAL SEMICONDUCTOR SUBSTRATES : Field plate electrode)

Patent 6114222: Method to cure mobile ion contamination in semiconductor processing
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : GETTERING OF SUBSTRATE : Fusion of semiconductor region)

Patent 6114223: Gettering regions and methods of forming gettering regions within a semiconductor wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : GETTERING OF SUBSTRATE : By implanting or irradiating : And electrical conductor formation (i.e., metallization))

Patent 6114224: System and method for using N.sub.2 O plasma treatment to eliminate defects at an interface between a stop layer and an integral layered
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : GETTERING OF SUBSTRATE : By implanting or irradiating : Ionized radiation (e.g., corpuscular or plasma treatment, etc.) : Plural doping steps)

Patent 6114225: Local penetrating proton beam transmutation doping method for silicon
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Fluid growth from gaseous state combined with subsequent diverse operation)

Patent 6114226: Method of manufacturing electrostatic discharge protective circuit
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : INTRODUCTION OF CONDUCTIVITY MODIFYING DOPANT INTO SEMICONDUCTIVE MATERIAL : Fluid growth from gaseous state combined with subsequent diverse operation)

Patent 6114227: Chamber for reducing contamination during chemical vapor deposition
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Using refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6114228: Method of making a semiconductor device with a composite gate dielectric layer and gate barrier layer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Silicide)

Patent 6114229: Polysilicon gate electrode critical dimension and drive current control in MOS transistor fabrication
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Silicide)

Patent 6114230: Nitrogen ion implanted amorphous silicon to produce oxidation resistant and finer grain polysilicon based floating gates
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : Insulated gate formation : Possessing plural conductive layers (e.g., polycide) : Separated by insulator (i.e., floating gate) : T-shaped electrode)

Patent 6114231: Wafer structure for securing bonding pads on integrated circuit chips and a method for fabricating the same
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Forming solder contact or bonding pad : Transparent conductor)

Patent 6114232: Method for making an electrical contact to a node location and process for forming a conductive line or other circuit component
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including fusion of conductor)

Patent 6114233: Dual damascene process using low-dielectric constant materials
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including fusion of conductor)

Patent 6114234: Method of making a semiconductor with copper passivating film
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Having planarization step : Diverse conductive layers limited to viahole/plug)

Patent 6114235: Multipurpose cap layer dielectric
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : Including use of antireflective layer : Utilizing etch-stop layer)

Patent 6114236: Process for production of semiconductor device having an insulating film of low dielectric constant
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : With formation of opening (i.e., viahole) in insulative layer : Utilizing etch-stop layer)

Patent 6114237: Method of forming contacts for a semiconductor device
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Multiple metal levels, separated by insulating layer (i.e., multiple level metallization) : With formation of opening (i.e., viahole) in insulative layer : Having viahole of tapered shape : Silicide formation)

Patent 6114238: Self-aligned metal nitride for copper passivation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Contacting multiple semiconductive regions (i.e., interconnects) : Diverse conductors : Having refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof) : Utilizing reflow)

Patent 6114239: Electronic circuit bonding interconnect component and flip chip interconnect bond
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Plural layered electrode or conductor : At least one layer forms a diffusion barrier : Having noble group metal (i.e., silver (Ag), gold (Au), platinum (Pt), palladium (Pd), rhodium (Rh), ruthenium (Ru), iridium (Ir), osmium (Os), or alloy thereof))

Patent 6114240: Method for fabricating semiconductor components using focused laser beam
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Specified configuration of electrode or contact : Conductive feedthrough or through-hole in substrate : Forming silicide)

Patent 6114241: Method of manufacturing a semiconductor device capable of reducing contact resistance
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Selective deposition of conductive layer : Pretreatment of surface to enhance or retard deposition : Forming silicide)

Patent 6114242: MOCVD molybdenum nitride diffusion barrier for Cu metallization
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Copper of copper alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6114243: Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Copper of copper alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6114244: Method for manufacturing a semiconductor device having fine contact hole with high aspect ratio
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING WITH ELECTRICALLY OR THERMALLY CONDUCTIVE MATERIAL : To form ohmic contact to semiconductive material : Aluminum or aluminum alloy conductor : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6114245: Method of processing semiconductor wafers
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Aluminum or aluminum alloy conductor)

Patent 6114246: Method of using a polish stop film to control dishing during copper chemical mechanical polishing
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Of refractory group metal (i.e., titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), niobium (Nb), tantalum (Ta), chromium (Cr), molybdenum (Mo), tungsten (W), or alloy thereof))

Patent 6114247: Polishing cloth for use in a CMP process and a surface treatment thereof
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6114248: Process to reduce localized polish stop erosion
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6114249: Chemical mechanical polishing of multiple material substrates and slurry having improved selectivity
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Combined with the removal of material by nonchemical means (e.g., ablating, abrading, etc.) : Combined mechanical and chemical material removal : Simultaneous (e.g., chemical-mechanical polishing, etc.) : Forming silicide)

Patent 6114250: Techniques for etching a low capacitance dielectric layer on a substrate
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : Photo-induced etching : Photo-induced plasma etching : Silicide)

Patent 6114251: Method of fabrication for ultra thin nitride liner in silicon trench isolation
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Photo-induced plasma etching)

Patent 6114252: Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Photo-induced plasma etching)

Patent 6114253: Via patterning for poly(arylene ether) used as an inter-metal dielectric
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : CHEMICAL ETCHING : Vapor phase etching (i.e., dry etching) : Utilizing electromagnetic or wave energy : By creating electric field (e.g., plasma, glow discharge, etc.) : Organic material (e.g., resist, etc.) : Silicide)

Patent 6114254: Method for removing contaminants from a semiconductor wafer
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Liquid phase etching)

Patent 6114255: Method of using an alloy of germanium and silicon as an antireflective coating
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Multiple layers : Layers formed of diverse composition or by diverse coating processes : Silicide)

Patent 6114256: Stable metallization for diamond and other materials
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Formation of semi-insulative polycrystalline silicon : Layers formed of diverse composition or by diverse coating processes)

Patent 6114257: Process for modified oxidation of a semiconductor substrate using chlorine plasma
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Compound semiconductor substrate : Nitridation)

Patent 6114258: Method of oxidizing a substrate in the presence of nitride and oxynitride films
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Silicon oxide formation : Introduction simultaneous with deposition)

Patent 6114259: Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material
(SEMICONDUCTOR DEVICE MANUFACTURING: PROCESS : COATING OF SUBSTRATE CONTAINING SEMICONDUCTOR REGION OR OF SEMICONDUCTOR SUBSTRATE : Insulative material deposited upon semiconductive substrate : Silicon oxide formation : Using electromagnetic or wave energy (e.g., photo-induced deposition, plasma, etc.) : Organic reactant : Microwave gas energizing)

Patent 6114260: Air-permeable sheet structural material, leather-like sheet structural material and method of producing the same
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : COATED OR IMPREGNATED WOVEN, KNIT, OR NONWOVEN FABRIC WHICH IS NOT (A) ASSOCIATED WITH ANOTHER PREFORMED LAYER OR FIBER LAYER OR, (B) WITH RESPECT TO WOVEN AND KNIT, CHARACTERIZED, RESPECTIVELY, BY A PARTICULAR OR DIFFERENTIAL WEAVE OR KNIT, WHEREIN THE COATING OR IMPREGNATION IS NEITHER A FOAMED MATERIAL NOR A FREE METAL OR ALLOY LAYER : Coating or impregnation specified as porous or permeable to a specific substance (e.g., water vapor, air, etc.) : At least one coating or impregnation contains particulate material)

Patent 6114261: Nonwoven absorbent article containing an emollient resistant polybutylene-based hot melt adhesive
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : COATED OR IMPREGNATED WOVEN, KNIT, OR NONWOVEN FABRIC WHICH IS NOT (A) ASSOCIATED WITH ANOTHER PREFORMED LAYER OR FIBER LAYER OR, (B) WITH RESPECT TO WOVEN AND KNIT, CHARACTERIZED, RESPECTIVELY, BY A PARTICULAR OR DIFFERENTIAL WEAVE OR KNIT, WHEREIN THE COATING OR IMPREGNATION IS NEITHER A FOAMED MATERIAL NOR A FREE METAL OR ALLOY LAYER : Coated or impregnated natural fiber fabric (e.g., cotton, wool, silk, linen, etc.) : Coated or impregnated cellulosic fiber fabric : A phosphorus containing compound and a halogen containing compound)

Patent 6114262: Base inliner, production thereof and use thereof
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : NONWOVEN FABRIC (I.E., NONWOVEN STRAND OR FIBER MATERIAL) : Including parallel strand or fiber material within the nonwoven fabric : Strand or fiber material is a blend of polymeric material and a filler material)

Patent 6114263: Stable web having enhanced extensibility and method for making same
(FABRIC (WOVEN, KNITTED, OR NONWOVEN TEXTILE OR CLOTH, ETC.) : NONWOVEN FABRIC (I.E., NONWOVEN STRAND OR FIBER MATERIAL) : Nonwoven fabric with a preformed polymeric film or sheet : Including particulate material other than fiber)

Patent 6114264: Gray glass composition
(COMPOSITIONS: CERAMIC : CERAMIC COMPOSITIONS : Glass compositions, compositions containing glass other than those wherein glass is a bonding agent, or glass batch forming compositions : Silica containing : 40 percent - 90 percent by weight silica : And aluminum or iron compound : And divalent metal oxide (e.g., oxides of zinc, cadmium, beryllium, alkaline earth metal, magnesium, etc.) : Calcium oxide containing : And aluminum)

Patent 6114265: Combustion control in a fluid catalytic cracking regenerator
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : REGENERATING OR REHABILITATING CATALYST OR SORBENT : Gas or vapor treating : Treating with free oxygen containing gas : With control of oxygen content in oxidation gas : Generally transverse (i.e., lateral) flow of oxygen containing gas relative to material)

Patent 6114266: Copper complexes for nCO and olefin adsorption
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : ZEOLITE OR CLAY, INCLUDING GALLIUM ANALOGS : Including organic component : Steam)

Patent 6114267: Process for the preparation of fluidized catalytic cracking (FCC) catalyst
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : ZEOLITE OR CLAY, INCLUDING GALLIUM ANALOGS : And additional AL or Si containing component : Zeolite : Mixed with clay : Generally transverse (i.e., lateral) flow of oxygen containing gas relative to material)

Patent 6114268: Catalyst composition and processes therefor and therewith
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : ZEOLITE OR CLAY, INCLUDING GALLIUM ANALOGS : And Group VIII (Iron Group or Platinum Group) containing : Mixed clays)

Patent 6114269: Process for preparing chemically modified micas for removal of cesium salts from aqueous solution
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : ZEOLITE OR CLAY, INCLUDING GALLIUM ANALOGS : Clay : And metal, metal oxide, or metal hydroxide : Sulfuric or hydrochloric acid)

Patent 6114270: Polymerization-stable anionic ancillary ligand containing alkylimido catalysts and method of using the same
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Plural component system comprising A - Group I to IV metal hydride or organometallic compound - and B - Group IV to VIII metal, lanthanide or actinde compound - (i.e., alkali metal, Ag, Au, Cu, alkaline earth metal, Be, Mg, Zn, Cd, Hg, Sc, Y, Al, Ga, In, Tl, Ti, Zn, Hf, Ge, Sn or Pb hydride or organometallic compound and Ti, Zr, Hf, Ge, Sn, Pb, V, Nb, Ta, As, Sb, Bi, Cr, Mo, W, Po, Mn, Tc, Re, Iron group, Platinum group, atomic number 57 to 71 inclusive or atomic number 89 or higher compound) : Component A metal is Group IA, IIA or IIIA and component B metal is Group IVB to VIIB or VIII (i.e., alkali metal, alkaline earth Metal, Be, Mg, Al, Ga, In or Tl and Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Tc, Re, iron Group or Platinum group) (e.g., Ziegler Catalyst, etc.) : Sulfuric or hydrochloric acid)

Patent 6114271: Process for the preparation of a polymerization and copolymerization of ethylene to give ultrahigh molecular-weight ethylene polymers
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Plural component system comprising A - Group I to IV metal hydride or organometallic compound - and B - Group IV to VIII metal, lanthanide or actinde compound - (i.e., alkali metal, Ag, Au, Cu, alkaline earth metal, Be, Mg, Zn, Cd, Hg, Sc, Y, Al, Ga, In, Tl, Ti, Zn, Hf, Ge, Sn or Pb hydride or organometallic compound and Ti, Zr, Hf, Ge, Sn, Pb, V, Nb, Ta, As, Sb, Bi, Cr, Mo, W, Po, Mn, Tc, Re, Iron group, Platinum group, atomic number 57 to 71 inclusive or atomic number 89 or higher compound) : Component A metal is Group IA, IIA or IIIA and component B metal is Group IVB to VIIB or VIII (i.e., alkali metal, alkaline earth Metal, Be, Mg, Al, Ga, In or Tl and Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Tc, Re, iron Group or Platinum group) (e.g., Ziegler Catalyst, etc.) : Preparing catalyst or precursor : Including comminuting (e.g., milling, grinding, etc.) :)

Patent 6114272: Catalyst of rhodium and a non-aqueous ligand liquid for preparation of aldehydes
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Organic compound containing : Organic phosphorus or nitrogen, except the ammonium ion : Quaternary ammonium or phosphonium : Metal compound other than which could be produced in situ by reaction of a Group IA, IIA, or Group IIIA metal compound present with a titanium or vanadium compound present)

Patent 6114273: Method for sox removal by catalytic carbon
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Inorganic carbon containing : Elemental carbon : Silicon carbide)

Patent 6114274: Preparation of aliphatic carboxylic acids in the presence of heteropolyacid catalysts
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Phosphorus or compound containing same : And vanadium containing : Molybdenum containing)

Patent 6114275: Crystalline metallophosphates
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Phosphorus or compound containing same : And silicon containing : Molybdenum)

Patent 6114276: Catalyst system for olefin polymerization and its use
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Halogen or compound containing same : And Group II metal (i.e., alkaline earth, Be, Mg, Zn Cd or Hg) : Platinum group (i.e., Ru, Rh, Pd, Os, Ir, Pt))

Patent 6114277: Process for preparing cyano group-containing aromatic methylamines
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Metal, metal oxide or metal hydroxide : Raney type : Of Group III or lanthanide group (i.e., Sc, Y, Al, Ga, In, Tl, or atomic number 57 to 71 inclusive))

Patent 6114278: Catalysts for catalytic oxidation of propane to acrylic acid, methods of making and using the same
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Metal, metal oxide or metal hydroxide : Of Group VI (i.e., Cr, Mo, W or Po) : And Group V metal containing (i.e., V, Nb, Ta, As, Sb or Bi) : Vanadium containing : Platinum or palladium)

Patent 6114279: Catalyst for methanol synthesis and reforming
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : CATALYST OR PRECURSOR THEREFOR : Metal, metal oxide or metal hydroxide : Of Group II (i.e., alkaline earth, Be, Mg, Zn, Cd or Hg) : And Group III metal containing (i.e., Sc, Y, Al, Ga, In or Tl) : Of zinc : Platinum or palladium)

Patent 6114280: Highly activated carbon from caustic digestion of rice hull ash and method
(CATALYST, SOLID SORBENT, OR SUPPORT THEREFOR: PRODUCT OR PROCESS OF MAKING : SOLID SORBENT : Free carbon containing : Process utilizing solid or liquid source carbonizable material, or product thereof : Specified source (e.g., peach pit, etc.) : Specified atmosphere)

Patent 6114281: Method and compositions for authenticating a product or document
(RECORD RECEIVER HAVING PLURAL INTERACTIVE LEAVES OR A COLORLESS COLOR FORMER, METHOD OF USE, OR DEVELOPER THEREFOR : HAVING A COLORLESS COLOR-FORMER, DEVELOPER THEREFOR, OR METHOD OF USE : Method of use, kit, or combined with marking instrument or organ :)

Patent 6114282: Thermal recording material and novel crystal of bisphenol S derivative
(RECORD RECEIVER HAVING PLURAL INTERACTIVE LEAVES OR A COLORLESS COLOR FORMER, METHOD OF USE, OR DEVELOPER THEREFOR : HAVING A COLORLESS COLOR-FORMER, DEVELOPER THEREFOR, OR METHOD OF USE : Identified organic electron acceptor (developer) other than phenolic resin : Synthetic resin capsule walls)

Patent 6114283: Herbicidal mixtures
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Plural active ingredients : Hetero ring containing active ingredient : Hetero ring is six-membered including nitrogen : The hetero ring consists of two nitrogens and four carbons : With an active ingredient containing -C(=X)X-, wherein the X`s are the same or diverse chalcogens (e.g., thiocarbamates, carbamates, carboxylic acids, etc.))

Patent 6114284: Growth regulator for crop plants and method for regulating the growth of crop plants
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Plural active ingredients : Hetero ring containing active ingredient : Oxygen containing hetero ring : Plural ring nitrogens in the hetero ring)

Patent 6114285: Compositions and methods for use in cropping bananas and plantain trees
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Desuckering or sucker control agent : Active ingredient contains organic nitrogen, other than as nitro or nitroso, wherein the nitrogen is attached directly or indirectly to carbon by nonionic bonding)

Patent 6114286: Pyrimidinone derivatives
(PLANT PROTECTING AND REGULATING COMPOSITIONS : PLANT GROWTH REGULATING COMPOSITIONS (E.G., HERBICIDES, ETC.) : Organic active compound containing : Hetero ring containing : Hetero ring is six-membered consisting of two nitrogens and four carbons (e.g., 1,4-diazines, etc.) : 1,3-diazines (including hydrogenated) : Polycyclo ring system having the diazine ring as one of the cyclos : The nitrogens are further bonded to hydrogen or hydrocarbyl only)

Patent 6114287: Method of deforming a biaxially textured buffer layer on a textured metallic substrate and articles therefrom
(SUPERCONDUCTOR TECHNOLOGY: APPARATUS, MATERIAL, PROCESS : PROCESSES OF PRODUCING OR TREATING HIGH TEMPERATURE (TC GREATER THAN 30 K) SUPERCONDUCTOR MATERIAL OR SUPERCONDUCTOR CONTAINING PRODUCTS OR PRECURSORS THEREOF : Coating : Vapor deposition : Vapor deposition)

Patent 6114288: Lubricating oil composition for internal combustion engines
(SOLID ANTI-FRICTION DEVICES, MATERIALS THEREFOR, LUBRICANT OR SEPARANT COMPOSITIONS FOR MOVING SOLID SURFACES, AND MISCELLANEOUS MINERAL OIL COMPOSITIONS : LUBRICANTS OR SEPARANTS FOR MOVING SOLID SURFACES AND MISCELLANEOUS MINERAL OIL COMPOSITIONS (E.G., WATER CONTAINING, ETC.) : Heavy metal or aluminum in an organic phosphorus compound having four chalcogens bonded directly to the phosphorus : The heavy metal is zinc : With organic nitrogen, phosphorus, or chalcogen compound)

Patent 6114289: Encapsulated crystalline calcium carbonate builder for use in detergent compositions
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : Carboxylic or thiocarboxylic ester function in the component)

Patent 6114290: Detergent composition
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For human scalp hair, scalp, or wig (e.g., shampoo, etc.) : Package, solid, or gas-propelled composition (e.g., powder, aerosol container, etc.) :)

Patent 6114291: Cast melt bar compositions comprising high levels of low molecular weight polyalkylene glycols
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For human skin : Solid, shaped article (e.g., bar, leaf, tablet, etc.) : Soap component : For comminuting soap dispenser)

Patent 6114292: Hematological analyzer sampling probe cleansing method
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For medical or dental instruments or equipment (e.g., electronic hematological analyzer, etc.) : Liquid composition)

Patent 6114293: Movie film cleaning process using halogenated hydrobromocarbon solvents
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For photographic film or processing equipment : For synthetic resin lens or mold therefor)

Patent 6114294: Copolymeric scale inhibitors for (Poly)phosphate scale control
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For bare metal surface (e.g., degreasing composition, etc.) : For descaling the inner surface of equipment which is in continuous contact with water (e.g., boiler scale removal; for water storage tank, conduit, etc.) : Free organic or inorganic acid component : With alkali metal hydroxide, carbonate, or bicarbonate component)

Patent 6114295: Dry cleaning system using densified carbon dioxide and a functionalized surfactant
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For textile material (e.g., laundry detergent, etc.) : Dry cleaning (e.g., using nonaqueous fluid, etc.) : With halogen, oxygen, or nitrogen containing chemical bleach, oxidant, antiseptic, or biocidal component : Aqueous component)

Patent 6114296: Cellulase variants
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For textile material (e.g., laundry detergent, etc.) : Enzyme component of specific activity or source (e.g., protease, of bacterial origin, etc.) : Peroxy component)

Patent 6114297: Detergent composition for clothing
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : For cleaning a specific substrate or removing a specific contaminant (e.g., for smoker`s pipe, etc.) : For textile material (e.g., laundry detergent, etc.) : Sulfur containing anionically substituted surfactant which is admixed with a diverse non-soap surfactant : Colorant, soap, or organic silicon containing component)

Patent 6114298: Hard surface cleaning and disinfecting compositions comprising essential oils
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : With oxygen or halogen containing chemical bleach or oxidant component : Liquid, paste, foam, or gel (e.g., slurry, aerosol composition or package, etc.) : The bleach or oxidant component contains peroxy : With inorganic phosphorus containing component)

Patent 6114299: Textile treating compositions comprising n-functional organopolysiloxanes and polyisobutylene polymers, and process of using
(CLEANING COMPOSITIONS FOR SOLID SURFACES, AUXILIARY COMPOSITIONS THEREFOR, OR PROCESSES OF PREPARING THE COMPOSITIONS : CLEANING COMPOSITIONS OR PROCESSES OF PREPARING (E.G., SODIUM BISULFATE COMPONENT, ETC.) : Specific organic component (e.g., triazines, etc.) : Silicon in the component : Soap component)

Patent 6114300: Spirocyclic compounds
(PERFUME COMPOSITIONS : PERFUME COMPOSITIONS : Ring containing active ingredient : Spiro :)

Patent 6114301: 2,4,6-trimethyl-4-phenyl-1,3-dioxane
(PERFUME COMPOSITIONS : PERFUME COMPOSITIONS : Ring containing active ingredient : Chalcogen in the ring : Plural chalcogens in the ring :)

Patent 6114302: Fragrance pro-accords
(PERFUME COMPOSITIONS : PERFUME COMPOSITIONS : Oxygen containing active ingredient : Six-membered alicyclic ring)

Patent 6114303: Hemoglobin therapy in hemodialysis
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : Heavy metal containing (e.g., hemoglobin, etc.) : With an additional active ingredient)

Patent 6114304: Methods for regulating gastrointestinal motility
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6114305: Utilization of prolactin for preventing and/or treating the expression of brooding behavior in birds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6114306: Cytokine related to hemolytic anemia and method of use
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6114307: Methods for stimulating pancreatic islet cell regeneration
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6114308: Inhibitors of trypsin-like enzymes
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 3 or 4 peptide repeating units in known peptide chain : Monocyclic)

Patent 6114309: Combinatorial library of moenomycin analogs and methods of producing same
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : O-glycoside : Guanidine containing)

Patent 6114310: Efflux pump inhibitors
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : O-glycoside : Oxygen of the saccharide radical bonded directly to a cyclohexyl ring : Two or more nitrogen atoms bonded directly to the cyclohexyl ring : Two saccharide radicals bonded through only oxygen to adjacent ring carbons of the cyclohexyl ring : Three or more saccharide radicals (e.g., neomycin, etc.) :)

Patent 6114311: Method for modulating smooth muscle cell proliferation
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Polynucleotide (e.g., RNA, DNA, etc.) : Two saccharide radicals bonded through only oxygen to 4- and 6- positions of the cyclohexyl ring)

Patent 6114312: Method of inhibiting human immunodeficiency virus by combined use of hydroxyurea, a nucleoside analog, and a protease inhibitor
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Purines (including hydrogenated) (e.g., adenine, guanine, etc.) : Two saccharide radicals bonded through only oxygen to 4- and 6- positions of the cyclohexyl ring)

Patent 6114313: Pharmaceutical compositions for freeze drying
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : N-glycoside : Nitrogen containing hetero ring : Purines (including hydrogenated) (e.g., adenine, guanine, etc.) : Adenosine or derivative : Phosphorus containing :)

Patent 6114314: Formulations containing hyaluronic acid
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Carbohydrate (i.e., saccharide radical containing) DOAI : Polysaccharide : Nitrogen containing hetero ring)

Patent 6114315: Use of NK-1 receptor antagonists for treating major depressive disorders with anxiety
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Phosphorus containing other than solely as part of an inorganic ion in an addition salt DOAI : Nitrogen containing hetero ring : Hetero ring is six-membered and includes only one ring nitrogen : Chalcogen in the six-membered hetero ring : Two or more PX- groups attached to the same 1,3-diazine (X is chalcogen))

Patent 6114316: Combination of bisphosphonate and tetracycline
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Phosphorus containing other than solely as part of an inorganic ion in an addition salt DOAI : Two or more phosphorus atoms directly or indirectly bonded together by only covalent bonds : Acyclic and contains at least one carbon atom between the phosphorus atoms : Benzene ring in the alcohol moiety)

Patent 6114317: Method of locking 1.alpha.-OH of vitamin D compounds in axial orientation
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : 9,10-seco- cyclopentanohydrophenanthrene ring system (e.g., vitamin D, etc.) DOAI : Nitrogen containing (e.g., anilides, etc.))

Patent 6114318: 17.beta.-(2-oxo-tetrahydrofuranyl)-thio- substituted androstane derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Cyclopentanohydrophenanthrene ring system DOAI : Hetero ring containing : -O-C-O- is part of a hetero ring (e.g., acetonide, etc.) : One benzene ring bonded directly to chalcogen)

Patent 6114319: Compositions containing difluprednate
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Cyclopentanohydrophenanthrene ring system DOAI : Oxygen double bonded to a ring carbon of the cyclopentanohydrophenanthrene ring system : Nitrogen containing hetero ring)

Patent 6114320: Therapeutic treatment for VEGF related ocular diseases
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Heavy metal containing (including salts) : Polycyclo ring system : 21-position substituted)

Patent 6114321: Porphyrin derivatives, pharmaceutical agents that contain the latter, and their use in photodynamic therapy and MRI diagnosis
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Heavy metal containing (including salts) : Polycyclo ring system : 21-position substituted)

Patent 6114322: Hypolipidemic 1,4-benzothiazepine-1,1-dioxides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring contains seven members including nitrogen, carbon and chalcogen : Additional hetero ring attached directly or indirectly to the four-membered hetero ring by nonionic bonding)

Patent 6114323: Methods for inhibiting the advanced glycosylation of proteins
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of one nitrogen and six carbons : Additional nitrogen containing hetero ring attached directly or indirectly to the seven-membered hetero ring by nonionic bonding)

Patent 6114324: Oral liquid antidepressant solution
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of one nitrogen and six carbons : Polycyclo ring system having the seven-membered hetero ring as one of the cyclos : Ring nitrogen of the seven-membered hetero ring is shared by an additional cyclo of the polycyclo ring system : Bicyclo ring system having the seven-membered hetero ring as one of the cyclos)

Patent 6114325: 1,2-di-substituted benzene-carboxamide derivative, hair growth promoter and external composition for skin using the same
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of two nitrogens and five carbon atoms : Nitrogen or C(=X), wherein X is chalcogen, attached indirectly to the seven-membered hetero ring by acyclic nonionic bonding)

Patent 6114326: Use of cabergoline in the treatment of restless legs syndrome
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is seven-membered consisting of two nitrogens and five carbon atoms : Polycyclo ring system having the seven-membered hetero ring as one of the cyclos : Tricyclo ring system having the seven-membered hetero ring as one of the cyclos : Plural ring hetero atoms in the additional hetero ring)

Patent 6114327: Anti-viral compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and sulfur as ring members : 1,3-Thiazines : Chalcogen or nitrogen bonded directly to ring carbon of the six-membered hetero ring : Phenothiazines (including hydrogenated))

Patent 6114328: Isoxazoline and isoxazole fibrogen receptor antagonists
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and sulfur as ring members : 1,4-Thiazines : Additional hetero ring attached directly or indirectly to the 1,4-thiazine by nonionic bonding : Phenothiazines (including hydrogenated))

Patent 6114329: 5-HT.sub.4 receptor antagonists useful in the treatment of gastroinstestinal, cardiovascular and CNS disorders
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered and includes at least nitrogen and oxygen as ring hetero atoms (e.g., monocyclic 1,2- and 1,3-oxazines, etc.) : Polycyclo ring system having the six-membered hetero ring as one of the cyclos (e.g., maytansinoids, etc.) : Tricyclo ring system having the six-membered hetero ring as one of the cyclos : Ring nitrogen shared by two of the cyclos : Three or more ring hetero atoms in the polycyclo ring system)

Patent 6114330: Substituted 2,4-diaminopyrimidines
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : Polycyclo ring system having a 1,2- or 1,4-diazine as one of the cyclos : Polycyclo ring system having the hetero ring as one of the cyclos)

Patent 6114331: Substance suppressing allograft rejection
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : Polycyclo ring system having a 1,2- or 1,4-diazine as one of the cyclos : 1,4-diazine as one of the cyclos : The chalcogen is bonded directly to two carbon atoms)

Patent 6114332: Bis(acridinecarboxamide) and bis(phenazinecarboxamide) as antitumor agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : Polycyclo ring system having a 1,2- or 1,4-diazine as one of the cyclos : 1,4-diazine as one of the cyclos : At least three rings in the polycyclo ring system : The additional hetero ring is attached indirectly to the morpholine ring by an acyclic chain having a hetero atom as a chain member)

Patent 6114333: 2-Pyrimidineamine derivatives and processes for their preparation
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : The additional hetero ring is a 1,3 diazine ring : Additional six-membered hetero ring consisting of five ring carbons and one ring nitrogen attached directly or indirectly to the 1,3-diazine by nonionic bonding : The polycyclo ring system is quinazoline (including hydrogenated))

Patent 6114334: Piperazine derivatives as therapeutic agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : The additional hetero ring is a 1,3 diazine ring : Five-membered nitrogen hetero ring attached directly or indirectly to the 1,3-diazine ring by nonionic bonding : The polycyclo ring system is quinazoline (including hydrogenated))

Patent 6114335: Benzoylguanidine derivatives, process for their preparation their use in the preparation of medicines
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Additional hetero ring attached directly or indirectly to the piperazine ring by nonionic bonding : Isoalloxazine (e.g., riboflavins, Vitamin B2, etc.))

Patent 6114336: Cosmetic composition containing a neuropeptide Y receptor antagonist
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peptide containing (e.g., protein, peptones, fibrinogen, etc.) DOAI : 25 or more peptide repeating units in known peptide chain structure : Monocyclic)

Patent 6114337: Zwitteronic-fatty acid compounds having anti-inflammatory properties
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,4 diazines : Piperazines (i.e., fully hydrogenated 1,4-diazines) : Polycyclo ring system having the additional five-membered hetero ring as one of the cyclos)

Patent 6114338: Heterocyclic compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is four-membered and includes at least one ring nitrogen : Additional hetero ring attached directly or indirectly to the four-membered hetero ring by nonionic bonding : The additional hetero ring contains ring nitrogen : Polycyclo ring system having the additional hetero ring as one of the cyclos : The other cyclo of the bicyclo ring system is five-membered)

Patent 6114339: Derivatives of pyrido [2,3-D] and [3,2-D] pyrimidine method of using these derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Polycyclo ring system having 1,3-diazine as one of the cyclos : Bicyclo ring system having the 1,3-diazine as one of the cyclos : The other cyclo in the bicyclo ring system is a pyridine ring (including hydrogenated) (e.g., pyrido[2,3-d]pyrimidine, etc.) : Purine (including hydrogenated))

Patent 6114340: Fungicidal compositions
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Polycyclo ring system having 1,3-diazine as one of the cyclos : Bicyclo ring system having the 1,3-diazine as one of the cyclos : Quinazoline (including hydrogenated)(i.e., the second cyclo in the bicyclo ring system is an ortho-fused six-membered carbocycle) : Chalcogen bonded directly to a ring carbon of the 1,3-diazine ring of the quinazoline ring system : Carbocyclic ring bonded directly to a ring carbon of the quinazoline ring system : Piperidinyl or tetrahydropyridyl)

Patent 6114341: Pyrimido[1,2-a]indoles
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Polycyclo ring system having 1,3-diazine as one of the cyclos : Tricyclo ring system having 1,3-diazine as one of the cyclos : Quinazoline (including hydrogenated)(i.e., the second cyclo in the bicyclo ring system is an ortho-fused six-membered carbocycle))

Patent 6114342: 2-(O-[pyrimidin-4-yl]methylenoxy)phenylacetic acid derivatives and their use for controlling harmful fungi and animal pests
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Pyrimidines with chalcogen bonded directly to a ring carbon of said pyrimidine moiety : Tricyclo ring system having 1,3-diazine as one of the cyclos)

Patent 6114343: Antiviral activity and resolution of 2-hydroxymethyl-5-(5-flurocytosin-1-yl)-1,3-oxathiolane
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of two nitrogens and four carbon atoms (e.g., pyridazines, etc.) : 1,3-diazines (e.g., pyrimidines, etc.) : Pyrimidines with chalcogen bonded directly to a ring carbon of said pyrimidine moiety : Chalcogen bonded directly to pyrimidine at 2-position : The nitrogen is part of a hetero ring)

Patent 6114344: Long-acting local anesthetics
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : 1,3-diazines (e.g., pyrimidines, etc.))

Patent 6114345: Phenylsubstituted 4-azasteroid fluoroderivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Tetracyclo ring system having the six-membered hetero ring as one of the cyclos : Ring nitrogen in the pentacyclo ring system is shared by five-membered cyclo and six-membered cyclo (e.g., vincamine, etc.))

Patent 6114346: Treating sleep disorders using desloratadine
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Tricyclo ring system having the six-membered hetero ring as one of the cyclos : Two of the cyclos share at least three ring members (i.e., bridged) (e.g., morphinans, etc.))

Patent 6114347: Pharmaceutical formulation for the prophylaxis and pretreatment of a poisoning caused by organophosphorus cholinesterase inhibitors
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Tricyclo ring system having the six-membered hetero ring as one of the cyclos : Acridines (including hydrogenated) : Plural ring nitrogens in the tricyclo ring system)

Patent 6114348: Method of treating warts using tazarotene
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Phenanthridines (including hydrogenated))

Patent 6114349: Substituted quinoline derivatives with antiviral action
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Quinolines (including hydrogenated) : Nitrogen, other than as nitro or nitroso, attached directly to the isoquinoline ring system by nonionic bonding)

Patent 6114350: Cyanine dyes and synthesis methods thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Quinolines (including hydrogenated) : Nitrogen, other than as nitro or nitroso, attached directly to the isoquinoline ring system by nonionic bonding)

Patent 6114351: N-oxides as antibacterial agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Quinolines (including hydrogenated) : Chalcogen attached directly to the six-membered hetero ring by nonionic bonding : Three or more hetero atoms in the tricyclo ring system)

Patent 6114352: Quinolinone derivative and anti-allergic agent with said quinolinone derivative as the active ingredient
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Quinolines (including hydrogenated) : Chalcogen attached directly to the six-membered hetero ring by nonionic bonding : Three or more hetero atoms in the tricyclo ring system)

Patent 6114353: Compositions and method for treatment of lymphomas, leukemias, and leiomyomas
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Polycyclo ring system having the six-membered hetero ring as one of the cyclos : Bicyclo ring system having the six-membered hetero ring as one of the cyclos : Quinolines (including hydrogenated) : Nitrogen, other than as nitro or nitroso, attached directly to the six membered hetero ring by nonionic bonding : Three or more hetero atoms in the tricyclo ring system)

Patent 6114354: N-substituted azaheterocyclic compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Piperidines : Additional ring containing : The additional ring is one of the cyclos in a polycyclo ring system : Hetero ring in the polycyclo ring system : Three or more hetero atoms in the tricyclo ring system)

Patent 6114355: Methods and compositions for inhibition of angiogenesis
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Piperidines : Additional ring containing : The additional ring is one of the cyclos in a polycyclo ring system : Hetero ring in the polycyclo ring system : Ring nitrogen in the polycyclo ring system : Plural ring nitrogens in the polycyclo ring system)

Patent 6114356: Method for treating gastrointestinal disorders using (+) norcisapride
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Piperidines : Additional ring containing : Chalcogen bonded directly to ring carbon of the piperidine ring : Polycyclo ring system is tricyclo-carbocyclic)

Patent 6114357: Hemoregulatory compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Plural six-membered hetero rings consisting of one nitrogen and five carbon atoms : Additional ring containing)

Patent 6114358: Thrombin inhibitors
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : Chalcogen bonded directly to a ring carbon of the six-membered hetero ring)

Patent 6114359: Endothelin receptor antagonists
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : The additional hetero ring is one of the cyclos in a polycyclo ring system : Plural hetero atoms in the polycyclo ring system : Plural chalcogens bonded directly to ring carbons of the piperidine ring)

Patent 6114360: Pyrrole compounds
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : The additional hetero ring is one of the cyclos in a polycyclo ring system : Ring nitrogen in the polycyclo ring system : Plural chalcogens bonded directly to ring carbons of the piperidine ring)

Patent 6114361: 5-oxo-pyrrolidine-2-carboxylic acid hydroxamide derivatives
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : Ring nitrogen in the additional hetero ring (e.g., oxazole, etc.) : Ring nitrogen in the polycyclo ring system)

Patent 6114362: Compositions for the control of plant pests
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Additional hetero ring containing : Ring nitrogen in the additional hetero ring (e.g., oxazole, etc.) : The additional hetero ring consists of two nitrogens and three carbons : Plural chalcogens bonded directly to ring carbons of the piperidine ring)

Patent 6114363: Pyridyl phenyl and benzyl ethers, process and intermediate products for their preparation and their use as fungicides and for controlling
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Chalcogen bonded directly to ring carbon of the six-membered hetero ring : Ring nitrogen in the additional hetero ring (e.g., oxazole, etc.))

Patent 6114364: 2,3-disubstituted cyclopentanone derivatives, process for producing the same, and medicinal use thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Hetero ring is six-membered consisting of one nitrogen and five carbon atoms : Chalcogen bonded directly to ring carbon of the six-membered hetero ring : C=O bonded directly to the six-membered hetero ring : The additional hetero ring consists of one nitrogen and four carbons (e.g., nicotine, etc.))

Patent 6114365: Arylmethyl-carbonylamino-thiazole derivatives, process for their preparation, and their use as antitumor agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,3-thiazoles (including hydrogenated) : Nitrogen bonded directly to ring carbon of the thiazole ring : C=X bonded directly to the nitrogen which is bonded directly to the thiazole ring (X is chalcogen) : Ring nitrogen is shared by the cyclos of the bicyclo ring system (e.g., tetramisole, etc.))

Patent 6114366: Broad spectrum preservative
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,2-thiazoles (including hydrogenated) : Nitrogen bonded directly to ring carbon of the thiazole ring)

Patent 6114367: Isoxazoline compounds as inhibitors of TNF release
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,2-oxazoles (including hydrogenated) : Nitrogen bonded directly to ring carbon of the oxazole ring)

Patent 6114368: Triazolyl disulphides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,2,4-triazoles (including hydrogenated) : Chalcogen bonded directly to the triazole ring : C=X bonded directly to the nitrogen which is bonded directly to the thiazole ring (X is chalcogen))

Patent 6114369: Benzimidazole compound
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : 1,3-diazoles : Polycyclo ring system having the diazole ring as one of the cyclos : Benzo fused at 4,5-positions of the diazole ring : Benzene ring bonded directly to the diazole ring by nonionic bonding)

Patent 6114370: Amnesic sedation composition and method of administering same
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Polycyclo ring system having the five-membered hetero ring as one of the cyclos : Chalcogen or nitrogen bonded directly to the pyrazole ring by nonionic bonding)

Patent 6114371: 3-(cyclohexanoheteroarylidenyl)-2-indolinone protein tyrosine kinase inhibitors
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Polycyclo ring system having the five-membered hetero ring as one of the cyclos : Bicyclo ring system having the five-membered hetero ring as one of the cyclos : Additional hetero ring which is not part of the bicyclo ring system : Ring sulfur in the polycyclo ring system)

Patent 6114372: Peptidyl compounds having MMP and TNF inhibitory activity
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Polycyclo ring system having the five-membered hetero ring as one of the cyclos : Bicyclo ring system having the five-membered hetero ring as one of the cyclos : The bicyclo ring system consists of the five-membered hetero ring and a benzene ring (e.g., indole, etc.) : The ring nitrogen is bonded directly to nonshared ring carbons of the five-membered hetero ring (e.g., isoindole, etc.) : Plural chalcogens bonded directly to ring carbons of the five-membered hetero ring (e.g., phthalimide, etc.) : Piperidinyl or tetrahydropyridyl)

Patent 6114373: N-acetyl-5,6-dimethoxytryptamine and its free radical scavenging activity
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Polycyclo ring system having the five-membered hetero ring as one of the cyclos : Bicyclo ring system having the five-membered hetero ring as one of the cyclos : The bicyclo ring system consists of the five-membered hetero ring and a benzene ring (e.g., indole, etc.) : C=X bonded directly or indirectly by an acyclic carbon or carbon chain to ring carbon of the five-membered hetero ring (e.g., tryptophan, etc.) (X is chalcogen) : Plural chalcogens bonded directly to ring carbons of the five-membered hetero ring (e.g., phthalimide, etc.))

Patent 6114374: 2-and 2,5-substituted phenylketoenols
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Five-membered hetero ring containing at least one nitrogen ring atom (e.g., 1,2,3-triazoles, etc.) : The five-membered hetero ring consists of one nitrogen and four carbons : Chalcogen bonded directly to the five-membered hetero ring by nonionic bonding : Bicyclo ring system having the five-membered hetero ring as one of the cyclos)

Patent 6114375: Substituted cyclopropyl phenoxymethyl phenyl carbamates and their use as fungicides
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Sulfur containing hetero ring : The hetero ring is five-membered : C=O bonded directly to the hetero ring (X is chalcogen) : Only two ring sulfurs in the hetero ring)

Patent 6114376: Methods for using macrocyclic lactone compounds as multidrug resistance reversing agents in tumor and other cells
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Oxygen containing hetero ring : The hetero ring has at least seven members : C=O bonded directly to the hetero ring (X is chalcogen))

Patent 6114377: Antimicrobial cosmetic compositions
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heterocyclic carbon compounds containing a hetero ring having chalcogen (i.e., O,S,Se or Te) or nitrogen as the only ring hetero atoms DOAI : Oxygen containing hetero ring : The hetero ring is five-membered : Chalcogen bonded directly to ring carbon of the hetero ring)

Patent 6114378: Fungicide mixtures
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : N-C(=X)X containing (X is chalcogen) DOAI : With an additional active ingredient : Ring in alcohol moiety)

Patent 6114379: Bioavailable chelates of creatine and essential metals
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Heavy metal containing DOAI : With an additional active ingredient)

Patent 6114380: Difluoro statone analogs
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Cadmium or chromium)

Patent 6114381: Non-heterocyclic .beta.-phenyl-.alpha.-aminopropionic acid n-phenyl amides for treatment of neurotoxic injury
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Cyano or isocyano bonded directly to carbon : Benzene ring containing : C=O other than as ketone or aldehyde : The cyano is bonded directly to a benzene ring : Chalcogen or nitrogen bonded directly to the hetero ring)

Patent 6114382: Methods for treating inflammatory bowel disease
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Cyano or isocyano bonded directly to carbon : Benzene ring containing : Additional nitrogen other than cyano : The cyano is bonded directly to a benzene ring)

Patent 6114383: Drugs inhibiting progress of pterygium and postoperative recurrence of the same
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Z-C(=O)-O-Y wherein Z is hydrogen or an organic radical bonded to the C(=O) by a carbon and Y is an organic radical bonded to the oxygen by a carbon : Z-C(=O)-O-Y, wherein Z contains a benzene ring : Z or Y radical contains a nitrogen atom : The nitrogen of the Z radical is directly bonded to a benzene ring which is directly bonded to the C(=O) group : Chalcogen or nitrogen bonded directly to the hetero ring)

Patent 6114384: Non-hazardous pest control
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Z-C(=O)-O-Y wherein Z is hydrogen or an organic radical bonded to the C(=O) by a carbon and Y is an organic radical bonded to the oxygen by a carbon : ZC(=O)OY, wherein Z is an acyclic radical bonded to the C=O by a carbon and Y is an organic radical bonded to the oxygen by a carbon : Ketone in Z radical)

Patent 6114385: Use of basic amino acids and derivatives for lowering ceramide levels
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ester DOAI : Z-C(=O)-O-Y wherein Z is hydrogen or an organic radical bonded to the C(=O) by a carbon and Y is an organic radical bonded to the oxygen by a carbon : ZC(=O)OY, wherein Z is an acyclic radical bonded to the C=O by a carbon and Y is an organic radical bonded to the oxygen by a carbon : Z radical contains nitrogen : Ring is alcohol moiety)

Patent 6114386: Inhibitors of bacterial sialidase
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Z-C(=O)-O-Y wherein Z is hydrogen or an organic radical bonded to the C(=O) by a carbon and Y is an organic radical bonded to the oxygen by a carbon)

Patent 6114387: Pharmaceutical composition for oral administration of chelating agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Nitrogen other than as nitro or nitroso nonionically bonded : Sulfur nonionically bonded : Ring is alcohol moiety)

Patent 6114388: Monofunctional and/or polyfunctional polylysine conjuages
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Nitrogen other than as nitro or nitroso nonionically bonded : RC(=O)N containing (i.e., carboxamide) (R is C or H) : Ring is alcohol moiety)

Patent 6114389: Use of a pharmaceutically acceptable oxalate derivative for the treatment of skin conditions
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Radical -XH acid, or anhydride, acid halide or salt thereof (X is chalcogen) DOAI : Carboxylic acid, percarboxylic acid, or salt thereof (e.g., peracetic acid, etc.) : Polycarboxylic acid or salt thereof : Cyclopentyl or cyclopentene (e.g., prostaglandins, etc.))

Patent 6114390: Amino acid derivatives, pharmaceutical compositions containing these compounds and processes for preparing them
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Ureas (i.e., N-C(=O)-N) : Benzene ring containing : Sulfur is part of a substituent which contains additional nitrogen)

Patent 6114391: .alpha.-amino acid amides, preparation thereof and the therapeutical use thereof
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Carboxamides (i.e., R-C(=O)-N, wherein R is a radical having carbon bonded directly to the C(=O)-N or is hydrogen and wherein any substituent attached to nitrogen will be referred to as E) : R contains benzene ring : Nitrogen in R : Benzene ring is part of a substituent which contains oxygen)

Patent 6114392: Agmatine, and polyaminoguanidine-bound heterocyclic compounds for neurotrauma and neurodegenerative diseases
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Amidines (i.e., N=C-N) : Guanidines (i.e., N=C(-N)-N) : R is hydrogen or a lower saturated alkyl of less than seven carbons)

Patent 6114393: Use of inhibitors of the sodium-hydrogen exchanger for the production of a pharmaceutical for the treatment of disorders which are caused by
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Amidines (i.e., N=C-N) : Guanidines (i.e., N=C(-N)-N) : R is hydrogen or a lower saturated alkyl of less than seven carbons)

Patent 6114394: Polyamine derivatives as radioprotective agents
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Benzene ring containing : Benzene ring containing)

Patent 6114395: Method of treating atherosclerosis
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Benzene ring containing : Two aryl rings or aryl ring systems bonded directly to the same acyclic carbon : Biguanides (i.e., N=C(-N)-N(N-)C=N))

Patent 6114396: Sterilizing or disinfecting composition
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Nitrogen containing other than solely as a nitrogen in an inorganic ion of an addition salt, a nitro or a nitroso DOAI : Acyclic : C-O-group containing : Polyhydroxy : Alkanol group only between the amino nitrogen and an ether oxygen which is bonded directly to the aryl ring or aryl ring system (i.e., aryloxy alkanol amines))

Patent 6114397: Gossypol for the treatment of cancer
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Ketone DOAI : Benzene ring containing : Plural rings : Polycyclo ring system : Bicyclo : Naphthyl ring system : C=X bonded directly or indirectly by an acyclic carbon or carbon chain to ring carbon of the five-membered hetero ring (e.g., tryptophan, etc.) (X is chalcogen))

Patent 6114398: Personal lubricant to prevent and stop itching
(DRUG, BIO-AFFECTING AND BODY TREATING COMPOSITIONS : DESIGNATED ORGANIC ACTIVE INGREDIENT CONTAINING (DOAI) : Peroxide DOAI : Thioether)

Patent 6114399: Methods and apparatus for separating Fischer-Tropsch catalysts from liquid hydrocarbon product
(CHEMISTRY: FISCHER-TROPSCH PROCESSES; OR PURIFICATION OR RECOVERY OF PRODUCTS THEREOF : INCLUDING REGENERATION OF CATALYST : Solvent utilized :)

Patent 6114400: Synthesis gas production by mixed conducting membranes with integrated conversion into liquid products
(CHEMISTRY: FISCHER-TROPSCH PROCESSES; OR PURIFICATION OR RECOVERY OF PRODUCTS THEREOF : GROUP VIII METAL CONTAINING CATALYST UTILIZED FOR THE FISCHER-TROPSCH REACTION (I.E., FE, CO, NI, RU, RH, PD, OS, IR, OR PT) : Solvent utilized)

Patent 6114401: Plastic reclamation process
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESS OF TREATING SCRAP OR WASTE PRODUCT CONTAINING SOLID ORGANIC POLYMER TO RECOVER A SOLID POLYMER THEREFROM : From aldehyde or derivative)

Patent 6114402: Modified rigid, foamable urethane composition and method
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : CELLULAR PRODUCTS OR PROCESSES OF PREPARING A CELLULAR PRODUCT, E.G., FOAMS, PORES, CHANNELS, ETC. : Cellular product formation prior to or during solid polymer formation in the presence of a stated ingredient other than water : Ingredient contains a -C-XH group wherein X is a chalcogen atom and the carbon atom is not double-bonded to a chalcogen atom, phenol, etc. : -C-X-C- compound contains a -C-XH group wherein X is a chalcogen atom)

Patent 6114403: Polyurethane catalyst compositions for improving rigid foam performance
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : CELLULAR PRODUCTS OR PROCESSES OF PREPARING A CELLULAR PRODUCT, E.G., FOAMS, PORES, CHANNELS, ETC. : Cellular product formation prior to or during solid polymer formation in the presence of a stated ingredient other than water : Ingredient is a nitrogen containing compound : Metal atom is part of an organic compound)

Patent 6114404: Radiation curable ink compositions and flat panel color filters made using same
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Processes involving carbohydrate as reactant or as solid polymer; or compositions therefore : Oxygen containing DNRM)

Patent 6114405: Ultraviolet radiation-curable light-modulating film for a light valve, and method of making same
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Processes involving a polysiloxane having ethylenic unsaturation as reactant or as solid polymer; or compositions therefore : Polyurethane has at least one non-terminal ethylenic group)

Patent 6114406: Radiation curable ink composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Processes of chemically modifying a solid polymer derived only from ethylenically unsaturated monomers by treating polymer with a chemical reactant; or compositions therefore : Chemical reactant is ethylenically unsaturated : Oxygen : Chemical reactant has two or more ethylenic groups :)

Patent 6114407: Sulfonated polymers
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Processes of chemically modifying a solid polymer derived only from ethylenically unsaturated monomers by treating polymer with a chemical reactant; or compositions therefore : Chemical reactant contains sulfur : Carbon and hyrogen only)

Patent 6114408: Single-solution adhesive resin formulations
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : COMPOSITIONS TO BE POLYMERIZED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR COMPOSITIONS TO BE MODIFIED BY WAVE ENERGY WHEREIN SAID COMPOSITION CONTAINS A RATE-AFFECTING MATERIAL; OR PROCESSES OF PREPARING OR TREATING A SOLID POLYMER UTILIZING WAVE ENERGY : Processes of preparing a solid polymer from at least one oxygen containing monomer; or compositions therefore : Carboxylic acid or derivative : Acrylamide or methacrylamide)

Patent 6114409: Dental material and tool for its application
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Nonmedicated composition specifically intended for contact with living animal tissue or process of preparing; other than apparel : Composition suitable for use as tissue or body member replacement, restorative, or implant : Composition suitable for use as tooth or bone replacement, restorative, or implant : Cement or filling composition :)

Patent 6114410: Proppant containing bondable particles and removable particles
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Composition for plugging pores in wells or other subterranean formations; consolidating formations in wells or cementing a well or process of preparing : Containing carbohydrate or cellular material derived from plant or animal)

Patent 6114411: Ink composition for ink jet recording and ink jet recording process
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Invisible, ballpoint, or typewriter ink compositions or process of preparing; or composition for correction ribbons or correction fluids or process of preparing : For wheeled vehicle)

Patent 6114412: Water-based ink with metallic gloss for direct fill in ball-point pen
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Invisible, ballpoint, or typewriter ink compositions or process of preparing; or composition for correction ribbons or correction fluids or process of preparing : For wheeled vehicle)

Patent 6114413: Thermally conducting materials and applications for microelectronic packaging
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Process of forming a composition of a solid polymer or solid polymer forming system by admixing a product in the form of a surface coated, impregnated, encapsulated, or surface modified fiber, sheet, particle, or web, with a material; or composition which is the result of said admixing : Product having a material encapsulated in or impregnated in a nonreactant material : Product having a silicon atom)

Patent 6114414: Continuous processing of powder coating compositions
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Utilizing a gaseous stream to suspend or agitate a particulate solid polymer composition, e.g., fluidized bed, etc. : Removal of material by treatment with hot water or steam, e.g., steam stripping, etc.)

Patent 6114415: Method for producing coagulated polymer latex particles
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : PROCESSES OF PREPARING A DESIRED OR INTENTIONAL COMPOSITION OF AT LEAST ONE NONREACTANT MATERIAL AND AT LEAST ONE SOLID POLYMER OR SPECIFIED INTERMEDIATE CONDENSATION PRODUCT, OR PRODUCT THEREOF : Creaming, agglomerating, or coalescing a solid polymer latex or aqueous dispersion wherein solid polymer latex or aqueous dispersion is the result : Slurried, dispersed, or suspended ingredient admixed with previously formed latex, aqueous dispersion or aqueous suspension of a solid polymer)

Patent 6114416: Flax shives reinforced thermosetting resin composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Cellular material derived from plant or animal source DNRM other than: cotton, farinaceous meals or flours, blood, diatomaceous earth, chalk, or other fossilized matter : Solid polymer derived from ethylenically unsaturated hydrocarbon only)

Patent 6114417: Paper sizing agents and methods
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Carbohydrate or derivative DNRM : Casein or derivative or soy protein)

Patent 6114418: Nonionic emulsifier for asphalt
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Coal, bituminous additive, extract, or derivative thereof; or oil shale; or fatty still residue DNRM : Asphaltene or maltene additive : With nitrogen-containing additive DNRM)

Patent 6114419: Fluorinated melt additives for thermoplastic polymers
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Nitrogen atom as part of a hetero ring DNRM : Nitrogen ring directly fused or bridged to a ring system : Nitrogen ring is part of a ring system having three or more rings fused or bridged together : At least one solid polymer derived from ethylenic reactants only)

Patent 6114420: Triazine derivatives containing 2,2,6,6-tetramethyl-4-piperidyl groups
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Nitrogen atom as part of a hetero ring DNRM : Six-membered nitrogen ring, e.g., pyridine, etc. : Six-membered nitrogen ring having two or more ring nitrogen atoms : Two or more nitrogen rings)

Patent 6114421: Flame-retarding composition for polymers, preparation process and self-extinguishing polymeric products obtained therewith
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Nitrogen atom as part of a hetero ring DNRM : Six-membered nitrogen ring, e.g., pyridine, etc. : Six-membered nitrogen ring having two or more ring nitrogen atoms : Two or more nitrogen rings)

Patent 6114422: Resist composition containing dialkyl malonate in base polymer
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Organo nitrogen compound other than unsubstituted ammonium salt as sole nitrogen atom DNRM : Trivalent or tetravalent nitrogen atom other than unsubstituted ammonium : C-OH group : Aryl group)

Patent 6114423: Redispersable cross-linkable dispersion powders
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Organic silicon compound having at least one oxygen atom DNRM : Oxygen atom other than as Si-O-Si and at least one Si-C or Si-H group : Halogen)

Patent 6114424: Opalescent cosmetic compositions and methods for their preparation
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Carboxylic acid or derivative and wherein the derivative is other than a metal salt DNRM : Cycloaliphatic group or bridged or fused ring system, e.g., camphoric acid, etc. : Naphthenic acid or derivative : Aryl)

Patent 6114425: Plasticized polyvinyl chloride compound
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Organic DNRM : Carboxylic acid or derivative and wherein the derivative is other than a metal salt DNRM : Aryl group : Atom other than C, H, or O : Aryl)

Patent 6114426: Pressure-sensitive flame retardant adhesive
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : Group V metal atom DNRM (i.e., V, Nb, Ta, As, Bi, Sb) : Antimony : At least one solid polymer derived from ethylenic reactants only)

Patent 6114427: Method for producing a dispersible, fine titanium pyrophosphate powder
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : Transition metal other than Group VIII DNRM (i.e., Sc, Ti, Mn, Cu, Y, Zr, Tc, Hf, Re) : Antimony)

Patent 6114428: Compositions of thermoplastic polymer and smelt
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : Carbon atom DNRM : Calcium carbonate, e.g., limestone, marble, etc. : Solid polymer derived from unsaturated hydrocarbon monomer : Halogen atom other than Cl)

Patent 6114429: Thermally conductive silicone composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : A single type of metal atom and only oxygen atoms DNRM, e.g., metal oxide, etc. : Group IIB metal atom (i.e., Zn or Cd) : Solid polymer derived from unsaturated hydrocarbon monomer)

Patent 6114430: Aqueous paint compositions comprising polyether amides
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : A single type of metal atom and only oxygen atoms DNRM, e.g., metal oxide, etc. : Group IIB metal atom (i.e., Zn or Cd) : Solid polymer derived from unsaturated hydrocarbon monomer)

Patent 6114431: Plastic composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : DNRM which is other than silicon dioxide, glass, titanium dioxide, water, halohydrocarbon, hydrocarbon, or elemental carbon : Inorganic compound devoid of a silicon atom DNRM : Heavy metal atom DNRM : Transition metal atom (i.e., Fe, Co, Ni) : Solid polymer derived from unsaturated hydrocarbon monomer)

Patent 6114432: Diene rubber composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Mixing inorganic silicon-containing material having color or numerical limitations other than amount, e.g., included herein are m.p., chemical composition, particle size, surface area, etc., or composition or product thereof, DNRM : Inorganic silicon-containing material having specified dimensions : Material contains glass : At least one microcrystalline wax)

Patent 6114433: PTC conductive polymer composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Mixing with carbon, e.g., graphite, etc., having numerical limitations, other than amount, e.g., included herein are particle size, surface area, etc., or composition or product thereof, DNRM : Inorganic silicon-containing material having specified dimensions)

Patent 6114434: Water-dilutable resins, process for preparing them, and their use
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Containing two or more solid polymers; solid polymer or SICP and a SICP, SPFI, or an ethylenic reactant or product thereof : At least one solid polymer derived from ethylenic reactants only : With solid polymer derived from at least one reactant wherein at least one of the reactants forming the solid polymer is an aldehyde or derivative; or with SPFI or SICP derived from an aldehyde or derivative wherein at least one of the necessary reactants is saturated : With aldehyde or aldehyde-type reactant)

Patent 6114435: Preparation of aqueous solutions of polymers containing vinylamine units, which solutions have a long shelf life, and their use
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : Polymer derived from ethylenic reactants only : From heterocyclic monomer : Fluorine-containing monomer contains F and C only or only F, C, and H)

Patent 6114436: Moisture-curable compositions containing polyisocyanates and compounds with alkoxysilane groups
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Adding a NRM to a preformed solid polymer or preformed specified intermediate condensation product, composition thereof; or process of treating or composition thereof : From silicon-containing reactant : From hydrocarbon monomer)

Patent 6114437: Polycarbonate articles with photochromic properties
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Preparation of intentional or desired composition by formation of a solid polymer (SP) or SICP in presence of a designated nonreactant material (DNRM) other than solely water, hydrocarbon, silicon dioxide, glass, titanium dioxide or elemental carbon, composition thereof; or process of treating or composition thereof : Elemental metal or metal compound not containing silicon DNRM : Al : Group VIII, i.e., Fe, Ru, Os, Co, Rh, Ir, Ni, Pd, Pt)

Patent 6114438: Oil resistant silicone sealants
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Preparation of intentional or desired composition by formation of a solid polymer (SP) or SICP in presence of a designated nonreactant material (DNRM) other than solely water, hydrocarbon, silicon dioxide, glass, titanium dioxide or elemental carbon, composition thereof; or process of treating or composition thereof : Elemental metal or metal compound not containing silicon DNRM : Inorganic metal compound having S, C, or N, e.g., KSCN, etc. : Calcium, e.g., calcium carbonate, etc. : Tin)

Patent 6114439: Crosslinkable aqueous polyester emulsion and process for preparing the same
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Preparation of intentional or desired composition by formation of solid polymer or SICP in the presence of water as a designated nonreactant material (DNRM), or products thereof : Solid polymer derived from a reactant which is a carboxylic acid or derivative : With carbohydrate reactant, e.g., starch, cellulose, cork, etc.)

Patent 6114440: Silicone resin-containing emulsion composition, method for making same, and article having a cured film of same
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : : Si-containing reactant forming a solid polymer or SICP in the presence of a nonreactant material : Poly (di organo siloxane) reactant, i.e., (R-Si(R)-O)-* where R is an organic radical and * is subscript two or more : Poly (di organo siloxane) reactant has at least one SiO other than as Si-O Si, e.g., Si-O-C, or SiOH group, etc. : With ethylenic unsaturated reactant : Aromatic monomer)

Patent 6114441: Blends of grafted fluoropolymer and polyester
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : Mixing of solid graft or graft-type copolymer with other solid polymer wherein one of said solid polymers is not derived from ethylenic reactants only; mixing of said polymer mixture with a chemical treating agent; or mixing of graft or graft-type copolymer with a SICP or SPFI; or processes of forming or reacting; or the resultant product of any of the above operations : Solid graft or graft-type copolymer derived from ethylenic reactants only :)

Patent 6114442: Chemical and heat-resistant styrene-based resin composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : Mixing of solid graft or graft-type copolymer derived from ethylenic reactants only with other solid polymer derived from ethylenic reactants only; or treating said mixture with chemical treating agent; or processes of forming or reacting; or the resultant product of any of the above operations : Contains two or more graft or graft-type copolymers or a graft or a graft type copolymer and at least one block or block-type copolymer : With solid polymer derived from at least one phenolic reactant wherein at least one of the reactants forming the solid polymer is saturated; or with SPFI wherein at least one of the necessary ingredients is a phenolic reactant or with a reaction product thereof; or with phenolic-containing SICP)

Patent 6114443: Polyolefin blends compatibilized with a diblock copolymer
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : Mixing of solid block or block-type copolymer with other solid polymer; mixing of said polymer mixture with a chemical treating agent; mixing of a block or block-type copolymer with SICP or with SPFI; or processes of forming or reacting; or the resultant product of any of the above operations : Mixture contains solid polymer derived from nonaromatic reactant containing plural ethylenically unsaturated groups)

Patent 6114444: Curable polyureas
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated Si-C or Si-H reactant or polymer thereof; or with solid copolymer derived from at least one Si-C or Si-H reactant wherein at least one of the reactants forming the solid copolymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a Si-C or Si-H bond or with a reaction product thereof; or with a SICP containing a Si-H or Si-C bond : Contacting with nonsilicon-containing SICP, nonsilicon-containing SPFI, or polymer thereof; or with two or more solid polymers : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114445: Hydrosilylation of polypropylene
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated Si-C or Si-H reactant or polymer thereof; or with solid copolymer derived from at least one Si-C or Si-H reactant wherein at least one of the reactants forming the solid copolymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a Si-C or Si-H bond or with a reaction product thereof; or with a SICP containing a Si-H or Si-C bond : Si-H or Si-C reactant contains an atom other than C, H, O, or Si bonded to a carbon atom : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114446: Polymer composition capable of forming surface slidable on water
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated Si-C or Si-H reactant or polymer thereof; or with solid copolymer derived from at least one Si-C or Si-H reactant wherein at least one of the reactants forming the solid copolymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a Si-C or Si-H bond or with a reaction product thereof; or with a SICP containing a Si-H or Si-C bond : Si-H or Si-C reactant contains an atom other than C, H, O, or Si bonded to a carbon atom : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114447: Radio frequency-transmissive compositions, methods of forming radio frequency-transmissive compositions
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated Si-C or Si-H reactant or polymer thereof; or with solid copolymer derived from at least one Si-C or Si-H reactant wherein at least one of the reactants forming the solid copolymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a Si-C or Si-H bond or with a reaction product thereof; or with a SICP containing a Si-H or Si-C bond : Solid polymer from ethylenic reactants only is derived from reactant containing halogen atom : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114448: Fluoropolymer compositions and preparation and uses thereof
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated Si-C or Si-H reactant or polymer thereof; or with solid copolymer derived from at least one Si-C or Si-H reactant wherein at least one of the reactants forming the solid copolymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a Si-C or Si-H bond or with a reaction product thereof; or with a SICP containing a Si-H or Si-C bond : Solid polymer from ethylenic reactants only is derived from reactant containing halogen atom : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114449: Curable rubber composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated Si-C or Si-H reactant or polymer thereof; or with solid copolymer derived from at least one Si-C or Si-H reactant wherein at least one of the reactants forming the solid copolymer is saturated; or with SPFI wherein at least one of the necessary ingredients contains a Si-C or Si-H bond or with a reaction product thereof; or with a SICP containing a Si-H or Si-C bond : Solid polymer from ethylenic reactants only is derived from plural unsaturated hydrocarbon : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114450: Aryl Cyanate and/or diepoxide and tetrahydropyranyl-protected hydroxymethylated phenolic or hydroxystyrene resin
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : With saturated 1,2-epoxy reactant containing more than one 1,2-epoxy group per mole or polymer derived therefrom; or with solid copolymer derived from at least one saturated reactant and at least one unsaturated 1,2-epoxy reactant wherein the epoxy reactant contains more than one 1,2-epoxy group per mole : Polymer derived from ethylenic reactants only derived from reactant containing an alcohol or ether group (includes phenols) : Solid block or block-type copolymer derived from reactant containing plural unsaturation)

Patent 6114451: Rubber composition
(SYNTHETIC RESINS OR NATURAL RUBBERS -- PART OF THE CLASS 520 SERIES : : MIXING OF TWO OR MORE SOLID POLYMERS; MIXING OF SOLID POLYMER OR SICP WITH SICP OR SPFI; MIXING OF SICP WITH AN ETHYLENIC AGENT; MIXING OF SOLID POLYMER WITH A CHEMICAL TREATING OR ETHYLENIC AGENT; OR PROCESSES OF FORMING OR REACTING; OR THE RESULTANT PRODUCT OF ANY OF THE ABOVE OPERATIONS : At least one solid polymer derived from ethylenic reactants only : Polymer mixture of two or more solid polymers derived from ethylenically unsaturated reactants only; or mixtures of said polymer mixture with a chemical treating agent; or products or processes of preparing any of the above mixtures : At least one reactant which forms additional polymer contains a carboxylic acid or derivative)

 

Back to EveryPatent.com